SlideShare a Scribd company logo
1 of 11
Download to read offline
3D chip stacking
with C4
technology
B. Dang
S. L. Wright
P. S. Andry
E. J. Sprogis
C. K. Tsang
M. J. Interrante
B. C. Webb
R. J. Polastre
R. R. Horton
C. S. Patel
A. Sharma
J. Zheng
K. Sakuma
J. U. Knickerbocker
Three-dimensional (3D) integration technology promises to
continue enhancing integrated-circuit system performance with
high bandwidth, low latency, low power, and a small form factor
for a variety of applications. In this work, conventional C4
(controlled-collapse chip connection) technology is studied for
robust interconnection between stacked thin chips. Various solder
hierarchies to enable 3D chip stacking and packaging are
investigated. Examples are presented to compare stacking schemes
with sequential and parallel reflow. Chips as thin as 90 lm are
stacked using conventional chip-placement and reflow processes,
and the associated process challenges are investigated and
discussed. Warpage of the thin chips is measured on various
substrates. Rework of the chip stack has also been demonstrated
through a temporary chip attachment operation, and the scalability
of reworkable C4 is investigated.
Introduction
Integrated circuit (IC) technology has evolved from a
transistor-centric era into an interconnect-centric era as
the interconnect delay in critical paths now far exceeds
the gate delay [1]. As feature sizes are further reduced to
integrate more devices, the monolithic chip performance
may degrade in contrast to the trend observed in the
semiconductor industry predicted by Mooreā€™s Law [2].
Meanwhile, the form factor of computing systems
continues to be reduced for most applications, from
servers to handheld devices. Two-dimensional integration
can no longer oļ¬€er the necessary packing density for
devices and components. In addition, the ever-increasing
demand for functionality requires that RF, analog, and
mixed-signal circuits be integrated with the logic devices.
There is a signiļ¬cant challenge to implement all these
devices and circuits on the same layer of a monolithic
substrate due to the incompatibility of manufacturing
processes. Therefore, revolutionary interconnection
schemes are needed for IC systems. By stacking multiple
device and circuit layers, three-dimensional (3D)
integration can enable much higher packing density,
allow much shorter chip-to-chip interconnection paths,
and make possible the heterogeneous integration of
diļ¬€erent types of chips in the same area. Thus, it is a
promising solution to solve the above problems and has
become an area of focus in advancing IC technology [3, 4].
Stacking monolithically produced semiconductor chips
can be accomplished using the following approaches: chip
to chip, chip to wafer, and wafer to wafer. Among these
options, wafer-to-wafer stacking allows all chips on the
wafers to be bonded simultaneously and provides the
highest manufacturing throughput. A number of wafer-
to-wafer bonding methods have been recently reported.
Cuā€“Cu thermal compression bonding can be performed
at temperatures of less than 3008C with high bond
strength [5]. Polymeric dielectric glue bonding allows
relatively compliant bonding at a low temperature [6].
Also, a room-temperature direct oxide bonding
technology, Ziptronix ZiBond, has been developed [7]. In
spite of this progress, wafer-to-wafer stacking has some
intrinsic limitations. First, high coplanarity is necessary
to ensure intimate contact across the entire wafer area.
Second, wafer-to-wafer stacking requires very high yield
for the individual wafers to avoid yield loss for the
bonded assembly. In addition, matching the wafer size
and the chips is required, which can signiļ¬cantly
constrain chip design.
In contrast, chip-to-chip and chip-to-wafer stacking
have advantages of ļ¬‚exibility in chip dimensions, the
option of selectively bonding known good die, and a
short time-to-market implementation. In addition, chip-
to-chip and chip-to-wafer stacking can easily adapt to
existing interconnection methods, such as wire-bonding
ƓCopyright 2008 by International Business Machines Corporation. Copying in printed form for private use is permitted without payment of royalty provided that (1) each
reproduction is done without alteration and (2) the Journal reference and IBM copyright notice are included on the ļ¬rst page. The title and abstract, but no other portions, of this
paper may be copied by any means or distributed royalty free without further permission by computer-based and other information-service systems. Permission to republish any other
portion of this paper must be obtained from the Editor.
IBM J. RES. & DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL.
599
0018-8646/08/$5.00 ĀŖ 2008 IBM
and solder technology, and are easier to implement.
Today, chip stacking with wire bonding has already
been widely used to reduce the overall form factor
and thickness of products in memory and handheld
applications [8]. As the demand for higher performance
and higher bandwidth continues to increase, chip stacking
with through-silicon vias (TSVs) for interconnection is
being developed and receiving more attention [9ā€“12].
However, several issues need to be investigated for the
manufacturing of TSV chip stacks. First, chip stacking
involves handling of ultrathin Si substrates with a large
number of TSVs. The thinned Si chips or wafers are very
fragile and require special attention during processing
and assembly. Tools and processes need to be developed
to handle very thin chips and thin wafers at high
throughput and low cost. Second, an assembly process
needs to be investigated for robust interconnection
between the multiple layers of thin chips and wafers at
low cost. The C4 (controlled-collapse chip connection)
technology that was developed by IBM in the 1960s has
been widely used for high-I/O-count applications [13].
Thus, chip-stack assembly with C4 technology needs to
be studied. Third, the reworkability of chip stacks and the
encapsulation of multiple gaps between stacked thin chips
should be investigated. Moreover, interconnection scaling
needs to be explored to meet the increasing demand on
I/O counts.
In this work, chip-stack assembly with C4 technology is
investigated. Various wafer-handler (or carrier) release
methods are ļ¬rst reviewed, as this is critical to enable the
handling and processing of thin chips and wafers. Chip-
to-chip stack assembly results with a discussion on
sequential or parallel bonding approaches are then
presented. The results for chip-stack rework and
multilayer chip stacking are demonstrated. Finally, the
scalability of C4 technology is described for ultrahigh-
density interconnection between stacked chips.
Handling of thinned chips and wafers
Si wafers become fragile as they are thinned, making
the handling of them a signiļ¬cant challenge for the
application of automated equipment. The force to bend a
Si wafer Fmax to breaking is proportional to the square of
thickness t [14], as indicated in Fmax Ā¼ Ct2
.
In addition, the highest stress is experienced at the
surface of the wafer, where defects are introduced by TSV
processing and wafer dicing. Therefore, the mechanical
strength of a thinned wafer or chip with TSV interconnects
may be further reduced. While a full-thickness wafer
(.700 lm) may require several hundred newtons to bend
to breaking, a wafer thinned to 70 lm can be broken by a
few newtons. To facilitate handling and processing, a
handler wafer is usually attached to enhance the
mechanical integrity of a Si wafer to be thinned. The most
common approach is to laminate a device wafer with a
handler wafer using a temporary adhesive [15, 16]. In
general, a handler wafer should have a coeļ¬ƒcient of
thermal expansion (CTE) closely matched to the device
wafer to be processed. A handler wafer also serves as a
stiļ¬€ener to keep a thinned wafer ļ¬‚at, allowing it to be
handled as a regular wafer. Upon completion of wafer
processing and dicing, the handler wafer then needs to be
released from the processed Si wafer to allow TSV
interconnection between the two sides of wafers or chips.
Thus, debonding of the handler wafer is another
important step for 3D integration.
In recent years, several debonding approaches such as
thermal release [15, 16] and laser ablation [17, 18] have
been studied and reported. In order to select a proper
release process for handling thinned wafers, the chemical
stability of the adhesive and the wafer processing
temperature should be considered. In addition, the
handler wafer requirements are diļ¬€erent for the various
release methods [15ā€“18]. For instance, the thermal release
method involves the use of an adhesive that melts at
elevated temperatures. The handler can be either a Si or a
glass wafer, and a hot-sliding tool must be used to
separate the processed wafer from the handler wafer
[15, 16]. Laser ablation release has a long history in
microelectromechanical system device fabrication and
transfer [17, 18]. It requires the use of a transparent glass
handler wafer and an adhesive that strongly absorbs the
working laser for the selected wavelength. An advantage
of the laser ablation approach is that it does not involve
high temperature or wet chemical processing. However,
stress may be induced because shock waves occur when
the adhesive material is ablated by pulsed laser. Moreover,
with any release method, it is desirable to be able to re-use
the handler wafers to reduce the manufacturing cost.
Thin chip stacking with C4 assembly
Regardless of the release method, chip stacking can be
performed between individual chips or between chips and
wafers. In this work, we consider chip-to-chip assembly
because of its ļ¬‚exibility. C4 technology has been widely
used in high-I/O-count ļ¬‚ip chips for various applications
because of proven manufacturability and reliability.
Therefore, it is evaluated as an important interconnection
solution for chip-to-chip stacking. Compared with
regular full-thickness (.700 lm) chips, TSV chips are
usually thinned signiļ¬cantly (,150 lm) to implement
TSV interconnects. The tungsten-based TSV interconnects
are fabricated with a CMOS-compatible TSV technology
that is described in Reference [10]. The main assembly
challenge concerns the bowing of the thinned TSV chips.
In this work, the bowing is usually caused by inherent
nonbalanced forces introduced by residual stress in the
thin ļ¬lm layers, nonuniformity of the TSVs, and the
B. DANG ET AL. IBM J. RES. & DEV. VOL. 52 NO. 6 NOVEMBER 2008
600
Si substrate
(a)
(b)
Si substrate
(c)
(d)
Region of interest (ROI)
22.5
22.5
11.2
11.2
0.0
0.0
Region of interest (ROI)
22.8
22.8
11.4
11.4
0.0
0.0
0
20
40
60
80
100
20
20
15
15
10
10
5
5
0 0
0
20
40
60
80
100
20
20
15
15
10
10
5
5
0 0
22 m
49 m
0 m
0 m
ā®
ā®
ā®
ā®
ā®
(mm)
(mm) (mm)
(mm)
(mm)
(mm)
(
m)
ā®
(
m)
Figure 1
Chip bowing: (a) topography measurement of a thinned through-silicon via (TSV) chip with positive bowing and (b) the side view of the
corners of the chip stack after assembly of a top chip; (c) topography measurement of a thinned TSV chip with negative bowing and (d) the
side view of the corners of the chip stack after assembly of a top chip.
IBM J. RES. & DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL.
601
diļ¬€erent wiring layers on the two sides of the thinned
chip. The direction of the bowing may vary for TSV chips
depending on the diļ¬€erent processing conditions. In
addition, the bowing may be temperature dependent.
Therefore, the behavior of the C4 interconnects during
the chip-stack assembly process needs to be studied.
Ceramic substrates were ļ¬rst used to evaluate the chip-
stack assembly because they usually have a relatively low
CTE, very low warpage, and high mechanical integrity at
elevated temperatures. During assembly, C4 solder melts
at reļ¬‚ow temperature and then solidiļ¬es when the
temperature decreases. Since the warpage of the ceramic
substrate is negligible, the post-assembly chip warpage
can be used as an indicator for the approximate warpage
of the thin chip close to the solidiļ¬cation temperature.
Figure 1(a) is an example of a thinned TSV chip
(;75 lm thick) that is bowed upward at its center due to
nonbalanced stress. In this example, warpage of as much
as ;50 lm can be observed across the chip area at room
temperature after the chip assembly. After a full-thickness
chip is joined on such a thinned TSV chip, warpage across
the chip area cannot be observed from the top of the
stack. However, in a side view of the corners of the
assembled stack structure, diļ¬€erent deformation can be
observed for the two levels of C4 joints, as shown in
Figure 1(b). Obviously, the lower-level C4s are
signiļ¬cantly compressed, while the upper-level C4s are
signiļ¬cantly elongated after the reļ¬‚ow, which is
consistent with the intrinsic bowing from the thinned TSV
chip.
Figures 1(c) and 1(d) illustrate the opposite case in
which the thinned TSV chip (;75 lm thick) bows upward
by ;20 lm at the corners. As a result, the side view of the
chip corners reveals that the lower-level C4s are
elongated, while the upper-level C4s are signiļ¬cantly
compressed. Since the same solder is used for both levels
of C4s, both levels of C4s are melted simultaneously
during reļ¬‚ow.
Through process improvement, TSV chips with
relatively little warpage were obtained and assembly of
(a)
(b)
Chip
TSV chip
Same solder
Chip
TSV chip
Different solder
Figure 2
Two-layer stack (a) without a C4 (controlled-collapse chip connection) solder hierarchy and (b) with a solder hierarchy, that is, the upper C4s
and the lower C4s are made of diļ¬€erent solders. (TSV: through-silicon via.)
B. DANG ET AL. IBM J. RES. & DEV. VOL. 52 NO. 6 NOVEMBER 2008
602
the chip-stack structure has been successfully achieved on
ceramic substrates. With C4 technology, various solder
alloys can be selected for the interconnection between the
stack levels to create a solder hierarchy that allows a chip
with high-melt C4s to be joined onto a chip with low-melt
C4s or vice versa. Figure 2 shows examples of two-layer
stacking of a regular full-thickness chip on top of a
thinned TSV chip that is joined on a ceramic substrate.
Figure 2(a) shows the same solder for the two levels of
C4s, while Figure 2(b) shows diļ¬€erent solder alloys used
for the two levels of C4s. The use of a solder hierarchy
can potentially enable selective chip removal or rework of
the chip stacks. Furthermore, with low-melt solder for the
upper-level C4s and high-melt solder for the lower-level
C4s, a top chip may be selectively removed and reworked
at a lower temperature without aļ¬€ecting the lower-level
high-melt C4s.
Upon assembly, underļ¬lling is necessary to mechanically
couple a chip with a substrate and protect C4s from
moisture and corrosion. During the capillary underļ¬lling
process, underļ¬ll is dispensed at an edge of a chip to allow
the capillary force to ļ¬ll the gap between the chip and
the substrate. In the case of a stack of two chips, two gaps
need to be ļ¬lled. Thus, the amount of underļ¬ll material
needs to be at least doubled. Experiments indicate that the
capillary eļ¬€ect allows ļ¬lling of the two levels of gaps
simultaneously. Acoustic scan inspection veriļ¬ed that
void-free gap ļ¬lling can be achieved. The underļ¬lled
two-layer chip stacks were then subjected to deep thermal
cycling (DTC) (from 558C to ;1258C) and the samples
passed 1,000 cycles without dc failure on all the tested C4
and TSV paths. In addition, test C4 and TSV chains have
survived more than 1,000 hours at a constant 0.7 A during
current stress tests. While more comprehensive reliability
tests need to be performed, these preliminary results
indicate the robust reliability of the C4 and TSV
interconnects.
Chip-stack rework and multilayer stack
assembly
Known good dies are desired for high assembly yield, but
it is diļ¬ƒcult to perform thorough testing at the wafer level
to verify perfect chips. As a result, rework is often
performed, particularly for high-performance multichip
modules (MCMs) because the overall package, as a
system, costs much more than individual chips. In the
past, temporary chip attachment (TCA) technology has
been developed to prescreen chips to improve overall
yield for high-performance MCM products [19]. With
TCA technology, the number of reļ¬‚ows required for an
MCM substrate is reduced during ļ¬nal assembly and test
[19], which is beneļ¬cial to product reliability. To enable
3D chip stacks in these high-performance applications, a
TCA process also needs to be developed for chip stacks.
A scanning electron microscope (SEM) image
of a reworked chip stack on a TCA substrate upon
completion of testing is shown in Figure 3. Chip stacks
Figure 3
Reworked two-layer chip stack.
(a)
(b)
Figure 4
Chip stack (a) joined onto a laminate substrate after rework from a
temporary chip attachment and (b) side view.
IBM J. RES.  DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL.
603
have been successfully detached from the TCA without
damage to the C4 bumps. The overall chip-stack structure
was well maintained and the thinned interposer chip
(,90 lm) remained intact. Since the hot-shear process
temperature does not exceed the solder reļ¬‚ow
temperature, the volume of C4 bumps is also well
maintained. After the rework, the C4 bumps are usually
reshaped through the reļ¬‚ow process. A reworked chip
stack can then be treated as a thicker chip and joined onto
a module substrate.
As shown in Figure 4, a reworked stack of a full-
thickness CMOS chip on a thinned TSV chip has been
successfully joined onto an organic laminate. The two
levels of C4 interconnects are visible in the side view. The
two gaps of the joined chip stack have been successfully
underļ¬lled simultaneously through a capillary
underļ¬lling process. In general, the strain on a C4
between a chip and a substrate can be reduced if the
standoļ¬€ is increased for a given distance to neutral point.
In the case of the two-layer chip stack, the overall
standoļ¬€ height for the top CMOS chip is increased as a
result of the added level of C4s, which is beneļ¬cial to
stress reduction for its fragile low-k dielectrics.
In addition to stacking a full-thickness chip onto a
thinned chip, stacking of multiple thinned TSV chips has
also been demonstrated with C4 assembly. As shown in
Figure 5(a), chips can be joined on a substrate with a
sequential reļ¬‚ow process. In sequential reļ¬‚ow, ļ¬rst the
bottom chip is joined onto the substrate followed by
subsequent chips. A sequential process allows control of
relative displacement between chips as each subsequent
chip is joined onto the stack. Figure 5(b) shows examples
of two-layer and three-layer stacks of thinned TSV chips
(as large as 21 mm 3 20 mm) utilizing sequential reļ¬‚ow of
C4 interconnections.
An alternative approach, the parallel reļ¬‚ow process,
has also been successfully demonstrated. By means of a
conventional chip placement tool, multiple chips with C4
bumps are placed together and held in place with a tacky
ļ¬‚ux before the reļ¬‚ow process. The simultaneous joining
of multiple chips can then be achieved within a single
reļ¬‚ow step, which is desired for increased manufacturing
throughput. However, a displacement may be incurred
during the placement of multiple chips since the C4
bumps are not joined until the reļ¬‚ow. Therefore, the
placement process must be well controlled to allow the
self-centering eļ¬€ect of the C4 bumps to accommodate the
potential displacement between chips.
Scalability of reworkable C4 technology for 3D
chip stacking
To meet the demand for high I/O counts in high-
performance and high-bandwidth applications, ļ¬‚ip-chip
I/O pitch needs to continue to be reduced over time.
According to the International Technology Roadmap for
Semiconductors, the area-array ļ¬‚ip-chip I/O C4 pitch will
be less than 85 lm for high-performance applications by
2020 [20]. In addition, ļ¬ne-pitch wire-bond
3. Place and reflow
2. Place and reflow
1. Place and reflow
Substrate
Substrate
Substrate
(a)
(b)
Figure 5
Chip stacking: (a) the sequential reļ¬‚ow process; (b) two-layer and three-layer stacks of thinned through-silicon via chips.
B. DANG ET AL. IBM J. RES.  DEV. VOL. 52 NO. 6 NOVEMBER 2008
604
interconnection in low-cost and memory applications
needs to be replaced by ļ¬ne-pitch area-array
interconnection due to the performance limitation of
wire-bond technology in a high-frequency regime and
I/O-count limitation. Thus, ļ¬ne-pitch C4 technology
needs to be studied.
In this work, micro-C4 bumps as small as 25 lm have
been fabricated and joined onto Si-based test vehicles
with bond pads that have various geometries and
dimensions. With an optimal bonding proļ¬le, 100%
bonding yield is demonstrated for a die with up
to ;11,800 Pb-free SnCu micro-C4s joined on a Si
substrate with contact pads as small as 10 lm. The
average contact resistance of the micro-C4s on the
various-size pads was measured using a four-terminal
daisy-chain test structure. The measured dc resistance of
the micro-C4s is plotted as a function of the bond pad
area, as shown in Figure 6(a). As expected, the measured
contact resistance increases as the bond pad size
decreases. However, the measured contact resistance does
not scale as a linear function of the contact area. As the
contact area is reduced from ;415 lm2
to ;78 lm2
, the
contact resistance increases from ;43 mX to only
;60 mX. This translates to an increase of ;40% in
contact resistance when the contact pad area is decreased
by a factor of ;5. This can be explained by the current-
crowding eļ¬€ect, which creates an uneven distribution of
current density across the C4 contact area [21, 22].
Figure 6(b) shows an example of the simulated current
density distribution across a C4 interconnect structure.
Since the on-chip and handler wiring thickness in the
vertical direction is much smaller (;1.2 lm) compared to
the contact dimensions in the lateral direction, the current
density is the greatest near the edges of the contact via.
Thus, the eļ¬€ective contact resistance does not scale
linearly with the contact area as the contact size
decreases. The implication of this result is that the
electrical penalty is relatively small as C4 dimensions
decrease to provide more I/O interconnections.
As C4 dimension scales, the reworkability is still of
interest, particularly for high-performance applications.
Thus, rework of chips with micro-C4s has been
investigated through mechanical removal. Shear
experiments are performed using an Instron tester with a
special ļ¬xture to ensure precise shear movement. The
shear force is characterized for contact pad area as well as
the shearing temperature. As expected, the shear force
decreases as the contact area is reduced. The shear
force at room temperature of the Pb-free micro-C4s
joined onto 23-lm-diameter pads is as high as
4.6 gram-force and is reduced to ;1.5 gram-force when
joined onto 5-lm 3 15-lm bond pads. To understand the
fracture mechanisms occurring during the mechanical
shear, the samples were inspected using a SEM. Figure 7
shows a comparison of two micro-C4s after the room-
temperature shear experiments. The observed shear
mechanism changes signiļ¬cantly as the dimension of the
bond pads is reduced. The micro-C4 joined on the ;25-lm
pads is completely damaged, while the micro-C4 joined
on the 10-lm pad is only slightly scratched at the top.
Apparently, the bond strength at the smaller contact
interface is not enough to damage the bulk portion of the
micro-C4s, thus allowing rework. To further reduce the
removal force, shear experiments were also performed at
elevated temperatures. As the temperature increased to
1858C (;408C below melting point), the shear force for
chip removal was reduced to less than 0.5 gram-force per
microbump. This reduction in shear strength is expected
due to the creep eļ¬€ect of the solder at elevated
temperatures, which further reduces the possibility of
damage during the chip rework. With proper cleaning,
the reworked dies with 11,800 micro-C4s have been
successfully rejoined.
40
50
60
70
0 100 200 300 400
Pad area ( m2)
(a)
Contact
resistance
(mā€)
ā®
2.0
1.8
1.6
1.4
1.2
1.0
0.8
0.6
0.4
0.2
(b)
2
2
4
6
0
0
ā«ŗ2
ā«ŗ4
ā«ŗ6
ā«»102
Current density [A/(m2)]
Figure 6
A plot of (a) the average contact resistance of a micro-C4, plotted as
a function of the bond pad area. (b) Simulated current density
distribution for a C4 interconnection.
IBM J. RES.  DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL.
605
Conclusion
Stacking of thinned TSV chips has been demonstrated
with C4 assembly for various schemes. Handling and
release methods have been reviewed and compared for
thin chips and thin wafers to enable chip stacking. The
inļ¬‚uence of thin-chip warpage on the assembly results
was investigated. With warpage control, assembly yield of
100% has been demonstrated for stacking a full-thickness
chip onto a thinned TSV chip with several thousands of
TSV and C4 interconnects. The two-layer chip stacks on
ceramic substrates have survived 1,000 DTCs and 1,000
hours of current stressing test conditions, which indicates
the robustness of the TSV and C4 interconnections.
Various solder hierarchies have been demonstrated
and discussed. A two-layer stack on TCA has been
reworked through hot shear without damage, followed by
successful joining of the reworked chip stack onto organic
laminates. In addition, multiple layers of thinned TSV
chips have been stacked through both sequential and
parallel reļ¬‚ow. Finally, the scalability of reworkable C4s
has been demonstrated to enable future chip stacking
with ultrahigh interconnection density. Electrical and
mechanical characteristics of the micro-C4s were studied
for reduced-size bond pads on Si-based substrates to
enable the TCA process. Dies with more than 11,800
micro-C4s at 50-lm pitch have been successfully
reworked without damage.
Acknowledgments
This work has been partially supported by DARPA
PERCS Agreement NBCH30390004 and by Maryland
Procurement Oļ¬ƒce (MPO) contract H98230-07-C-0409.
We acknowledge technical support from R. Sirdeshmukh,
A. Prabhakar, M. Mason, and D. Dimilia at the IBM
T. J. Watson Research Center. We also acknowledge
F. Pompeo, Mark Kapfhammer, W. Sablinski, R. Marsh,
and G. Advocate at the IBM Systems and Technology
Group, East Fishkill, New York, and the support of
management at the IBM T. J. Watson Research Center,
T. Chainer, D. Seeger, and T.-C. Chen.
References
1. J. D. Meindl, J. A. Davis, P. Zarkesh-Ha, C. S. Patel, K. P.
Martin, and P. A. Kohl, ā€˜ā€˜Interconnect Opportunities for
Gigascale Integration,ā€™ā€™ IBM J. Res.  Dev. 46, No. 2/3,
245ā€“263 (2002).
2. J. A. Davis and J. D. Meindl, Interconnect Technology and
Design for Gigascale Integration, Kluwer Academic Publishers,
Boston, 2003.
3. A. W. Topol, D. C. La Tulipe, L. Shi, S. M. Alam, D. J.
Frank, S. E. Steen, J. Vichiconti, et al., ā€˜ā€˜Enabling SOI-Based
Assembly Technology for Three-Dimensional (3d) Integrated
Circuits (ICs),ā€™ā€™ Proceedings of the IEEE International Electron
Devices Meeting, Washington, DC, 2005, pp. 352ā€“355.
4. J. U. Knickerbocker, C. S. Patel, P. S. Andry, C. K. Tsang,
L. P. Buchwalter, E. J. Sprogis, H. Gan, et al., ā€˜ā€˜3-D Silicon
Integration and Silicon Packaging Technology Using Silicon
Through-Vias,ā€™ā€™ IEEE J. Solid-State Circuits 41, No. 8,
1718ā€“1725 (2006).
5. K. N. Chen, C. S. Tan, A. Fan, and R. Reif, ā€˜ā€˜Morphology and
Bond Strength of Copper Wafer Bonding,ā€™ā€™ Electrochem.
Solid-State Lett. 7, No. 1, G14ā€“G16 (2004).
6. F. Niklaus, G. Stemme, J.-Q. Lu, and R. J. Gutmann,
ā€˜ā€˜Adhesive Wafer Bonding,ā€™ā€™ J. Appl. Phys. 99, No. 3, 1101
(2006).
7. Ziptronix, Inc., ZiBond Direct Wafer Bonding Technology;
see http://www.ziptronix.com/techno/zibond.html.
8. M. Karnezos, ā€˜ā€˜3D Packaging: Where All Technologies Come
Together,ā€™ā€™ Proceedings of the IEEE/CPMT/SEMI 29th
International Electronics Manufacturing Technology
Symposium, San Jose, CA, 2004, pp. 64ā€“67.
9. K. Takahashi, Y. Taguchi, M. Tomisaka, H. Yonemura,
M. Hoshino, M. Ueno, Y. Egawa, et al., ā€˜ā€˜Process Integration
of 3D Chip Stack with Vertical Interconnection,ā€™ā€™ Proceedings
of the 54th Electronic Components and Technology Conference,
Las Vegas, NV, 2004, pp. 601ā€“609.
10. P. S. Andry, C. Tsang, E. Sprogis, C. Patel, S. L. Wright, and
B. C. Webb, ā€˜ā€˜A CMOS-Compatible Process for Fabricating
Electrical Through-Vias in Silicon,ā€™ā€™ Proceedings of the 56th
(a)
(b)
Figure 7
The damage level after room-temperature shear of the micro-C4s
that were bonded onto substrate pads with (a) ;25-lm diameter
and (b) ;10-lm diameter.
B. DANG ET AL. IBM J. RES.  DEV. VOL. 52 NO. 6 NOVEMBER 2008
606
Electronic Components and Technology Conference, San Diego,
CA, 2006, pp. 831ā€“837.
11. M. Kawano, S. Uchiyama, Y. Egawa, N. Takahashi,
Y. Kurita, K. Soejima, M. Komuro, et al., ā€˜ā€˜A 3D Packaging
Technology for 4 Gbit Stacked DRAM with 3 Gbps Data
Transfer,ā€™ā€™ Proceedings of the IEDM International Electron
Devices Meeting, San Francisco, CA, 2006, pp. 1ā€“4.
12. K. Sakuma, P. S. Andry, C. K. Tsang, S. L. Wright, B. Dang,
C. S. Patel, B. C. Webb, et al., ā€˜ā€˜3D Chip-Stacking Technology
with Through-Silicon Vias and Low-Volume Lead-Free
Interconnections,ā€™ā€™ IBM J. Res.  Dev. 52, No. 6, 611ā€“622
(2008, this issue).
13. K. DeHaven and J. Dietz, ā€˜ā€˜Controlled Collapse Chip
Connection (C4)ā€”An Enabling Technology,ā€™ā€™ Proceedings of
the 44th Electronic Components and Technology Conference,
Washington, DC, 1994, pp. 1ā€“6.
14. G. Coletti, C. J. J. Tool, and L. J. Geerligs, ā€˜ā€˜Mechanical
Strength of Silicon Wafers and Its Modelling,ā€™ā€™ Proceedings of
the 15th Workshop on Crystalline Silicon Solar Cells 
Modules: Materials and Processes, Vail, CO, 2005; see http://
www.ecn.nl/docs/library/report/2005/rx05133.pdf.
15. V. Dragoi, T. Glinsner, G. Mittendorfer, M. Wimplinger, and
P. Lindner, ā€˜ā€˜Reversible Wafer Bonding for Reliable
Compound Semiconductor Processing,ā€™ā€™ Proceedings of the
18th IEEE International Semiconductor Conference, Garmisch,
Germany, 2002, pp. 331ā€“334.
16. Brewer Science, Inc., Enabling High-Temperature Processing
and Increased Throughput of Ultrathin Wafers; see http://
www.brewerscience.com/products/waferbond-ht-system/.
17. A. S. Holmes and S. M. Saidam, ā€˜ā€˜Sacriļ¬cial Layer Process
with Laser-Driven Release for Batchassembly Operations,ā€™ā€™
J. Microelectromech. Syst. 7, No. 4, 416ā€“422 (1998).
18. M. Despont, U. Drechsler, R. Yu, H. B. Pogge, and
P. Vettiger, ā€˜ā€˜Wafer-Scale Microdevice Transfer/Interconnect:
From a New Integration Method to Its Application in an afm-
Based Data-Storage System,ā€™ā€™ Proceedings of the 12th
International Conference on Solid-State Sensors, Actuators and
Microsystems, Boston, MA, 2003, pp. 1907ā€“1910.
19. E. Atwood, C. Browne, and K. Kelly, ā€˜ā€˜KGD Production for
Controlled Chip Collapse Connection (C4) Applications,ā€™ā€™
Proceedings of 7th International Conference on Multichip
Modules and High Density Packaging, Denver, CO, 1998,
pp. 362ā€“367.
20. International Technology Roadmap for Semiconductors,
ITRS 2006 Update; see http://www.itrs.net/Links/2006Update/
2006UpdateFinal.htm.
21. B. Dang, S. L. Wright, P. S. Andry, C. K. Tsang, C. Patel,
R. Polastre, R. Horton, et al., ā€˜ā€˜Assembly, Characterization,
and Reworkability of Pb-Free Ultra-Fine Pitch C4s for
System-on-Package,ā€™ā€™ Proceedings of 57th Electronic
Components and Technology Conference, Reno, NV, 2007,
pp. 42ā€“48.
22. S. L. Wright, R. Polastre, H. Gan, L. P. Buchwalter,
R. Horton, P. S. Andry, E. Sprogis, et al., ā€˜ā€˜Characterization
of Micro-bump C4 Interconnections for Si-Carrier SOP
Applicationsā€™ā€™ Proceedings of the Electronic Components and
Technology Conference, 2006, pp. 633ā€“640.
Received January 4, 2008; accepted for publication
Bing Dang IBM Research Division, Thomas J. Watson
Research Center, P.O. Box 218, Yorktown Heights, New York
10598 (dangbing@us.ibm.com). Dr. Dang is a Research Staļ¬€
Member in the System-on-Package/3D Integration Group. He has
worked on various research projects including compliant wafer-
level packaging, ļ¬ne-pitch ļ¬‚ip-chip, 3D chip-stacking and
integration, and thermal management technologies. He received his
Ph.D degree in electrical and computer engineering at Georgia
Institute of Technology in 2006. He also holds three M.S. degrees
in electrical and computer engineering, materials engineering, and
metallurgical physical chemistry. He received his B.S. degree in
metallurgical physical chemistry at the University of Science and
Technology, Beijing, China, in 1995. He has authored and
coauthored more than 40 publications in international conferences
and journals in the ļ¬eld of integrated circuit packaging. He has
contributed to eight patent applications. Dr. Dang received a Best
Invited Paper Award at the IEEE 2007 Custom Integrated Circuits
Conference and an Outstanding Paper Award at the IEEE 2007
Electronic Components and Technology Conference. He is a
member of the IEEE.
Steven L. Wright IBM Research Division, Thomas J. Watson
Research Center, P.O. Box 218, Yorktown Heights, New York
10598 (wrightsl@us.ibm.com). Dr. Wright is a Research Staļ¬€
Member in the System-on-Package Group within the Electronic
and Optical Packaging department. He received B.S. and M.S.
degrees in electrical engineering from the University of Colorado in
1975 and 1978, working in the area of liquid-phase epitaxial
growth of IIIā€“V compound semiconductor heterojunctions. He
received a Ph.D. degree in electrical engineering from the
University of California, Santa Barbara in 1982. His doctorate
work involved the ļ¬rst successful growth of GaP on Si by
molecular beam epitaxy, as well as fabrication of a heterojunction
bipolar transistor with a wide bandgap emitter. Since the start of
his career at IBM in 1982, he has worked in the areas of materials
science and device physics of IIIā€“V compound semiconductor
heterojunctions, liquid-crystal amorphous Si ļ¬‚at panel display
technology, development and marketing of high-resolution
visualization technology, and silicon-carrier packaging technology.
He is currently focusing on test and reliability issues for
silicon-carrier technology. Dr. Wright has received six IBM
Invention Achievement Awards and three Research Division
Awards, and he has contributed to more than 150 publications.
Paul S. Andry IBM Research Division, Thomas J. Watson
Research Center, P.O. Box 218, Yorktown Heights, New York
10598 (andry@us.ibm.com). Dr. Andry is a Research Staļ¬€ Member
at the IBM T. J. Watson Research Center. He joined IBM in 1997,
working in the Advanced Display Technology Laboratory on a
variety of projects including prototypes of the worldā€™s highest
information content display, as well as the worldā€™s ļ¬rst a-Si-driven
OLED display. After serving as Technical Assistant to the Vice
President of Science and Technology, he returned to technical work
in the System-on-Package Group where he has been working on
development of key 3D technology elements and applications of
silicon-carrier technology. He is a coeditor of the book Thin Film
Transistors, author or coauthor of more than 35 professional
publications, and holder of more than 30 issued or pending
patents. Dr. Andry received his B.Sc. degree (1986) in physics from
the University of Waterloo, Ontario, Canada, his M.Sc. degree
(1990) in physics from the UniversiteĢ de Sherbrooke, Quebec,
Canada, and his Ph.D. degree (1997) in materials science from the
University of Vermont, Burlington. He has received two
Outstanding Technical Achievement Awards, two Technical
Group Awards, one Research Division Award, and eight IBM
Invention Plateau Awards.
IBM J. RES.  DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL.
607
February 22, 2008; Internet publication October 24, 2008
Edmund J. Sprogis IBM Systems and Technology Group,
1000 River Street, Essex Junction, Vermont 05452
(u6474@us.ibm.com). Mr. Sprogis holds the B.S.E.E. degree from
Worcester Polytechnic Institute and the M.S.E.E. degree from the
University of Vermont. He joined IBM in 1978 performing
electrical characterization and defect diagnostics in various logic
technologies. He then moved into DRAM technology development
and worked on advanced cell structures, architectures, and
designing novel test vehicles for the characterization of 4-Mb to
1,284-Mb generation DRAM cells. In 1994, he served as lead
engineer for several packaging development projects ranging from
stacked die packages to silicon cubes. He is currently a Senior
Engineer and, since 2002, has been developing and qualifying TSV
technology for high-performance 3D applications.
Cornelia K. Tsang IBM Research Division, Thomas J. Watson
Research Center, P.O. Box 218, Yorktown Heights, New York
10598 (cktsang@us.ibm.com). Ms. Tsang is a Senior Engineer at
the IBM T. J. Watson Research Center. She joined the IBM
Research Division in 2001 and has worked since then in the
System-on-Package Group on novel process development and
integration of silicon-based packages. Her research interests
include through-silicon via technology, silicon-carrier integration,
3D integrated circuits, chip stacking, and various types of chip-
and wafer-level assembly and bonding. She is an author or
coauthor of more than 17 professional publications and holder of
16 issued or pending patents. Ms. Tsang received her B.S. and M.S.
degrees in materials science and engineering from the
Massachusetts Institute of Technology in 2000 and 2001,
respectively.
Mario J. Interrante IBM Systems and Technology Group,
Microelectronics Division, 2070 Route 52, Hopewell Junction,
New York 12533. Mr. Interrante has worked in electronic
packaging development at IBM for 38 years. He has more than 24
patents in the areas of plating, wire bonding, ball and column grid
array, and ļ¬‚ip-chip joining and chip rework. He has received three
IBM Division Awards and an IBM Portfolio Award. He has
authored and coauthored numerous papers in electronic
packaging.
Bucknell C. Webb IBM Research Division, Thomas J. Watson
Research Center, P.O. Box 218, Yorktown Heights, New York
10598 (bcwebb@us.ibm.com). Dr. Webb is a Research Staļ¬€
Member working in the System Measurement and Test Group. He
received a B.A. degree in physics from Harvard University and a
Ph.D. degree in physics from Cornell University for studies on the
far-infrared reļ¬‚ectivity of valence-ļ¬‚uctuating compounds,
primarily CePd3. He joined IBM in 1988, working initially with
optical imaging and the high-frequency (100-MHz) magnetic
response of magnetic thin ļ¬lms such as in disk drive recording
heads. In 1992, he and his group began work on what would
become the self-servo-writing process used to create the servo data
on disk drives. In 2002, Dr. Webb shifted to working on thermal
and thermomechanical problems in microelectronics, with a focus
on technology and applications of silicon interposers. He is an
author of more than 24 scientiļ¬c papers and 33 U.S. patents.
Robert J. Polastre IBM Research Division, Thomas J. Watson
Research Center, P.O. Box 218, Yorktown Heights, New York
10598 (polastr@us.ibm.com). Mr. Polastre is an Advisory Engineer
working in the System-on-Package Group. He joined the IBM
Research Division in 1983. He holds a B.S. degree in electronic
technology from LaSalle University. He received two IBM
Outstanding Technical Achievement Awards and an IBM
Corporate Award for his work on array testing of thin-ļ¬lm
transistors. He is the author or coauthor of several papers on
automated and thermal testing and is a coinventor of several
patents in these areas.
Raymond R. Horton IBM Research Division, Thomas J.
Watson Research Center, P.O. Box 218, Yorktown Heights,
New York 10598. Mr. Horton is a Staļ¬€ Engineer. He is a member
of the System-on-Package Group and is responsible for bonding,
assembly, and testing of interconnections. His work experience
includes ļ¬‚at-panel display assembly and test and packaging. He is
the coauthor of numerous technical publications and patents. Mr.
Horton is the recipient an IBM Outstanding Technical
Achievement Award.
Chirag S. Patel IBM Research Division, Thomas J. Watson
Research Center. Dr. Patel is a Research Staļ¬€ Member in the
Science and Technology Department at the IBM T. J. Watson
Research Center. He holds B.S., M.S., and Ph.D. degrees, all in
electrical engineering, from the Georgia Institute of Technology. In
2001, he joined the IBM Research Division, where he continues to
work on the design and characterization of advanced and
exploratory systems based on silicon handler technology. He is an
author or coauthor of more than 50 technical papers.
Arun Sharma IBM Research Division, Thomas J. Watson
Research Center, P.O. Box 218, Yorktown Heights, New York
10598 (ash1@us.ibm.com). Mr. Sharma has worked in the IBM
Research Division for more than 25 years. His current focus is
high-density electronic packaging, organic substrate
characterization, mechanics simulation, and system thermal
solutions. He has worked extensively in hard disk drive
servo-mechanics design, shock ruggedization, and disk servo
systems simulation. He received IBM Outstanding Technical
Achievement and Research Division Awards for disk shift shock
resistance solutions, dynamic track misregistration error analysis
of head-disk assembly platforms, shock ruggedization of IBM
Travelstar disk drives, and the development of impact printer
sensors. He holds more than 30 patents. He received a B.E. degree
in electrical engineering from the Indian Institute of Technology,
India, and an M.S. degree in computer science from Polytechnic
University.
Jiantao Zheng IBM Systems and Technology Group,
Microelectronics Division, 2070 Route 52, Hopewell Junction,
New York 12533 (jzheng@us.ibm.com). Mr. Zheng is a
Development Engineer. He joined IBM in 2006 and he currently
works on the mechanical stress simulation and testing of electronic
packages, interconnections, devices, and materials. Mr. Zheng
received B.S. degrees in mechanical engineering and industrial
engineering, both from Tsinghua University, China, and an M.S.
degree in mechanical engineering from the Georgia Institute of
Technology. He is currently a Ph.D. candidate in mechanical
engineering at the Georgia Institute of Technology. He has
published nine technical papers on thin-ļ¬lm delamination and
testing, sensor fabrication, and testing.
Katsuyuki Sakuma IBM Research Division, IBM Tokyo
Research Laboratory, 1623-14 Shimo-tsuruma, Yamato-shi,
Kanagawa-ken 242-8502, Japan (sakuma2@jp.ibm.com).
Mr. Sakuma is a Research Staļ¬€ Member in the Electronic and
B. DANG ET AL. IBM J. RES.  DEV. VOL. 52 NO. 6 NOVEMBER 2008
608
Optical Packaging Group. He holds B.S. and M.S. degrees in
mechanical engineering from Tohoku University, Japan. He is
currently pursuing his Ph.D. degree in advanced science and
engineering from Waseda University, Japan. He joined the IBM
Tokyo Research Laboratory in 2000 working on the research and
development of a liquid crystal display source driver. Following an
assignment in the IBM Research Division, Thomas J. Watson
Research Center, New York, he returned to the Tokyo Research
Laboratory and is now the leader of 3D chip-stacking research. His
research interests include high-density, low-volume solder
interconnections, system-on-package, wafer-level packaging, and
3D integration technologies. He is an author or coauthor of more
than 22 conference and journal publications and holds seven issued
or pending patents. He was an associate editor of the Institute of
Electronics, Information and Communication Engineers (IEICE)
from 2003 until 2005. He is a member of the IEICE, the Japan
Society of Applied Physics, and the Japan Institute of Electronics
Packaging.
John U. Knickerbocker IBM Research Division, Thomas J.
Watson Research Center, P.O. Box 218, Yorktown Heights,
New York 10598 (knickerj@us.ibm.com). Dr. Knickerbocker is an
IBM Distinguished Engineer. He is Manager of the System-on-
Package/3D Integration Group. He holds a Ph.D. degree in
engineering from the University of Illinois. He worked in IBM
Microelectronics at East Fishkill, New York, from 1983 to 2003
where he held a series of engineering and management positions
leading to director of IBM worldwide packaging development. In
2003, he joined the IBM Research Division, where he has led the
development of next-generation 3D silicon integration including
die stacking and silicon packaging, each with TSVs, thinned
silicon, and ļ¬ne-pitch interconnection. Dr. Knickerbocker has
received an IBM Corporate Award, three Division Awards, and 39
Invention Plateau awards. He has authored or coauthored 150
patents or patent applications and more than 40 technical papers
and publications. He serves as a member of the Sematech 3D
working group. He has been a member of the IEEE, IMAPS, and is
a Fellow of the American Ceramic Society.
IBM J. RES.  DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL.
609

More Related Content

Similar to 3D chip stacking with C4 technology

Fabrication process of integrated circuit
Fabrication process of integrated circuitFabrication process of integrated circuit
Fabrication process of integrated circuitCIKGUNURUL4
Ā 
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementFixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementIRJET Journal
Ā 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyIJRES Journal
Ā 
Three-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfThree-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfYogeshAM4
Ā 
Three-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfThree-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfYogeshAM4
Ā 
Three-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfThree-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfYogeshAM4
Ā 
Abstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICAbstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICvishnu murthy
Ā 
3D ic the new edge of electronics
3D ic the new edge of electronics3D ic the new edge of electronics
3D ic the new edge of electronicsSofcon India Pvt Ltd.
Ā 
Flipchip bonding.
Flipchip bonding.Flipchip bonding.
Flipchip bonding.venkata016
Ā 
Thermal Management And Sd0802 C Presentation
Thermal Management And Sd0802 C PresentationThermal Management And Sd0802 C Presentation
Thermal Management And Sd0802 C Presentationsforman1
Ā 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
Ā 
.3mm CSP and LFCSP Reliability
.3mm CSP and LFCSP Reliability.3mm CSP and LFCSP Reliability
.3mm CSP and LFCSP ReliabilityGreg Caswell
Ā 
Looking beyond moores_law_deepak
Looking beyond moores_law_deepakLooking beyond moores_law_deepak
Looking beyond moores_law_deepakDeepak Sekar
Ā 
types of packages.pdf
types of packages.pdftypes of packages.pdf
types of packages.pdfatul839790
Ā 
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...IJERA Editor
Ā 
DfR Advanced Packaging
DfR Advanced PackagingDfR Advanced Packaging
DfR Advanced PackagingGreg Caswell
Ā 
TechSolutionsWLCSPMarket
TechSolutionsWLCSPMarketTechSolutionsWLCSPMarket
TechSolutionsWLCSPMarketRavi Chilukuri
Ā 

Similar to 3D chip stacking with C4 technology (20)

Fabrication process of integrated circuit
Fabrication process of integrated circuitFabrication process of integrated circuit
Fabrication process of integrated circuit
Ā 
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementFixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Ā 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A survey
Ā 
3d ic
3d ic3d ic
3d ic
Ā 
Three-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfThree-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdf
Ā 
Three-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfThree-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdf
Ā 
Three-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfThree-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdf
Ā 
Abstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICAbstract The Prospect of 3D-IC
Abstract The Prospect of 3D-IC
Ā 
3D ic the new edge of electronics
3D ic the new edge of electronics3D ic the new edge of electronics
3D ic the new edge of electronics
Ā 
Flipchip bonding.
Flipchip bonding.Flipchip bonding.
Flipchip bonding.
Ā 
56
5656
56
Ā 
Thermal Management And Sd0802 C Presentation
Thermal Management And Sd0802 C PresentationThermal Management And Sd0802 C Presentation
Thermal Management And Sd0802 C Presentation
Ā 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
Ā 
.3mm CSP and LFCSP Reliability
.3mm CSP and LFCSP Reliability.3mm CSP and LFCSP Reliability
.3mm CSP and LFCSP Reliability
Ā 
1549501456Lecture-1.pptx
1549501456Lecture-1.pptx1549501456Lecture-1.pptx
1549501456Lecture-1.pptx
Ā 
Looking beyond moores_law_deepak
Looking beyond moores_law_deepakLooking beyond moores_law_deepak
Looking beyond moores_law_deepak
Ā 
types of packages.pdf
types of packages.pdftypes of packages.pdf
types of packages.pdf
Ā 
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Ā 
DfR Advanced Packaging
DfR Advanced PackagingDfR Advanced Packaging
DfR Advanced Packaging
Ā 
TechSolutionsWLCSPMarket
TechSolutionsWLCSPMarketTechSolutionsWLCSPMarket
TechSolutionsWLCSPMarket
Ā 

More from Nathan Mathis

Page Borders Design, Border Design, Baby Clip Art, Fre
Page Borders Design, Border Design, Baby Clip Art, FrePage Borders Design, Border Design, Baby Clip Art, Fre
Page Borders Design, Border Design, Baby Clip Art, FreNathan Mathis
Ā 
How To Write Your Essays In Less Minutes Using This Website Doy News
How To Write Your Essays In Less Minutes Using This Website Doy NewsHow To Write Your Essays In Less Minutes Using This Website Doy News
How To Write Your Essays In Less Minutes Using This Website Doy NewsNathan Mathis
Ā 
Lined Paper For Beginning Writers Writing Paper Prin
Lined Paper For Beginning Writers Writing Paper PrinLined Paper For Beginning Writers Writing Paper Prin
Lined Paper For Beginning Writers Writing Paper PrinNathan Mathis
Ā 
Term Paper Example Telegraph
Term Paper Example TelegraphTerm Paper Example Telegraph
Term Paper Example TelegraphNathan Mathis
Ā 
Unusual How To Start Off A Compare And Contrast Essay
Unusual How To Start Off A Compare And Contrast EssayUnusual How To Start Off A Compare And Contrast Essay
Unusual How To Start Off A Compare And Contrast EssayNathan Mathis
Ā 
How To Write A Methodology Essay, Essay Writer, Essa
How To Write A Methodology Essay, Essay Writer, EssaHow To Write A Methodology Essay, Essay Writer, Essa
How To Write A Methodology Essay, Essay Writer, EssaNathan Mathis
Ā 
Recolectar 144 Imagem Educational Background Ex
Recolectar 144 Imagem Educational Background ExRecolectar 144 Imagem Educational Background Ex
Recolectar 144 Imagem Educational Background ExNathan Mathis
Ā 
Microsoft Word Lined Paper Template
Microsoft Word Lined Paper TemplateMicrosoft Word Lined Paper Template
Microsoft Word Lined Paper TemplateNathan Mathis
Ā 
Owl Writing Paper
Owl Writing PaperOwl Writing Paper
Owl Writing PaperNathan Mathis
Ā 
The Essay Writing Process Essays
The Essay Writing Process EssaysThe Essay Writing Process Essays
The Essay Writing Process EssaysNathan Mathis
Ā 
How To Make A Cover Page For Assignment Guide - As
How To Make A Cover Page For Assignment Guide - AsHow To Make A Cover Page For Assignment Guide - As
How To Make A Cover Page For Assignment Guide - AsNathan Mathis
Ā 
Awesome Creative Writing Essays Thatsnotus
Awesome Creative Writing Essays ThatsnotusAwesome Creative Writing Essays Thatsnotus
Awesome Creative Writing Essays ThatsnotusNathan Mathis
Ā 
Sites That Write Papers For You. Websites That Write Essays For You
Sites That Write Papers For You. Websites That Write Essays For YouSites That Write Papers For You. Websites That Write Essays For You
Sites That Write Papers For You. Websites That Write Essays For YouNathan Mathis
Ā 
4.4 How To Organize And Arrange - Hu
4.4 How To Organize And Arrange - Hu4.4 How To Organize And Arrange - Hu
4.4 How To Organize And Arrange - HuNathan Mathis
Ā 
Essay Written In First Person
Essay Written In First PersonEssay Written In First Person
Essay Written In First PersonNathan Mathis
Ā 
My Purpose In Life Free Essay Example
My Purpose In Life Free Essay ExampleMy Purpose In Life Free Essay Example
My Purpose In Life Free Essay ExampleNathan Mathis
Ā 
The Structure Of An Outline For A Research Paper, Including Text
The Structure Of An Outline For A Research Paper, Including TextThe Structure Of An Outline For A Research Paper, Including Text
The Structure Of An Outline For A Research Paper, Including TextNathan Mathis
Ā 
What Are Some Topics For Exemplification Essays - Quora
What Are Some Topics For Exemplification Essays - QuoraWhat Are Some Topics For Exemplification Essays - Quora
What Are Some Topics For Exemplification Essays - QuoraNathan Mathis
Ā 
Please Comment, Like, Or Re-Pin For Later Bibliogra
Please Comment, Like, Or Re-Pin For Later BibliograPlease Comment, Like, Or Re-Pin For Later Bibliogra
Please Comment, Like, Or Re-Pin For Later BibliograNathan Mathis
Ā 
Ide Populer Word In English, Top
Ide Populer Word In English, TopIde Populer Word In English, Top
Ide Populer Word In English, TopNathan Mathis
Ā 

More from Nathan Mathis (20)

Page Borders Design, Border Design, Baby Clip Art, Fre
Page Borders Design, Border Design, Baby Clip Art, FrePage Borders Design, Border Design, Baby Clip Art, Fre
Page Borders Design, Border Design, Baby Clip Art, Fre
Ā 
How To Write Your Essays In Less Minutes Using This Website Doy News
How To Write Your Essays In Less Minutes Using This Website Doy NewsHow To Write Your Essays In Less Minutes Using This Website Doy News
How To Write Your Essays In Less Minutes Using This Website Doy News
Ā 
Lined Paper For Beginning Writers Writing Paper Prin
Lined Paper For Beginning Writers Writing Paper PrinLined Paper For Beginning Writers Writing Paper Prin
Lined Paper For Beginning Writers Writing Paper Prin
Ā 
Term Paper Example Telegraph
Term Paper Example TelegraphTerm Paper Example Telegraph
Term Paper Example Telegraph
Ā 
Unusual How To Start Off A Compare And Contrast Essay
Unusual How To Start Off A Compare And Contrast EssayUnusual How To Start Off A Compare And Contrast Essay
Unusual How To Start Off A Compare And Contrast Essay
Ā 
How To Write A Methodology Essay, Essay Writer, Essa
How To Write A Methodology Essay, Essay Writer, EssaHow To Write A Methodology Essay, Essay Writer, Essa
How To Write A Methodology Essay, Essay Writer, Essa
Ā 
Recolectar 144 Imagem Educational Background Ex
Recolectar 144 Imagem Educational Background ExRecolectar 144 Imagem Educational Background Ex
Recolectar 144 Imagem Educational Background Ex
Ā 
Microsoft Word Lined Paper Template
Microsoft Word Lined Paper TemplateMicrosoft Word Lined Paper Template
Microsoft Word Lined Paper Template
Ā 
Owl Writing Paper
Owl Writing PaperOwl Writing Paper
Owl Writing Paper
Ā 
The Essay Writing Process Essays
The Essay Writing Process EssaysThe Essay Writing Process Essays
The Essay Writing Process Essays
Ā 
How To Make A Cover Page For Assignment Guide - As
How To Make A Cover Page For Assignment Guide - AsHow To Make A Cover Page For Assignment Guide - As
How To Make A Cover Page For Assignment Guide - As
Ā 
Awesome Creative Writing Essays Thatsnotus
Awesome Creative Writing Essays ThatsnotusAwesome Creative Writing Essays Thatsnotus
Awesome Creative Writing Essays Thatsnotus
Ā 
Sites That Write Papers For You. Websites That Write Essays For You
Sites That Write Papers For You. Websites That Write Essays For YouSites That Write Papers For You. Websites That Write Essays For You
Sites That Write Papers For You. Websites That Write Essays For You
Ā 
4.4 How To Organize And Arrange - Hu
4.4 How To Organize And Arrange - Hu4.4 How To Organize And Arrange - Hu
4.4 How To Organize And Arrange - Hu
Ā 
Essay Written In First Person
Essay Written In First PersonEssay Written In First Person
Essay Written In First Person
Ā 
My Purpose In Life Free Essay Example
My Purpose In Life Free Essay ExampleMy Purpose In Life Free Essay Example
My Purpose In Life Free Essay Example
Ā 
The Structure Of An Outline For A Research Paper, Including Text
The Structure Of An Outline For A Research Paper, Including TextThe Structure Of An Outline For A Research Paper, Including Text
The Structure Of An Outline For A Research Paper, Including Text
Ā 
What Are Some Topics For Exemplification Essays - Quora
What Are Some Topics For Exemplification Essays - QuoraWhat Are Some Topics For Exemplification Essays - Quora
What Are Some Topics For Exemplification Essays - Quora
Ā 
Please Comment, Like, Or Re-Pin For Later Bibliogra
Please Comment, Like, Or Re-Pin For Later BibliograPlease Comment, Like, Or Re-Pin For Later Bibliogra
Please Comment, Like, Or Re-Pin For Later Bibliogra
Ā 
Ide Populer Word In English, Top
Ide Populer Word In English, TopIde Populer Word In English, Top
Ide Populer Word In English, Top
Ā 

Recently uploaded

MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docxPoojaSen20
Ā 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
Ā 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
Ā 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting DataJhengPantaleon
Ā 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxSayali Powar
Ā 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
Ā 
A Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformA Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformChameera Dedduwage
Ā 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
Ā 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxOH TEIK BIN
Ā 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxRoyAbrique
Ā 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)eniolaolutunde
Ā 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesFatimaKhan178732
Ā 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsanshu789521
Ā 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
Ā 
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)ā€”ā€”ā€”ā€”IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)ā€”ā€”ā€”ā€”IMP.OF KSHARA ...KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)ā€”ā€”ā€”ā€”IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)ā€”ā€”ā€”ā€”IMP.OF KSHARA ...M56BOOKSTORE PRODUCT/SERVICE
Ā 
Class 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfClass 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfakmcokerachita
Ā 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationnomboosow
Ā 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Celine George
Ā 

Recently uploaded (20)

MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docx
Ā 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
Ā 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
Ā 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
Ā 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
Ā 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
Ā 
A Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformA Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy Reform
Ā 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
Ā 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptx
Ā 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Ā 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)
Ā 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and Actinides
Ā 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha elections
Ā 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Ā 
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)ā€”ā€”ā€”ā€”IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)ā€”ā€”ā€”ā€”IMP.OF KSHARA ...KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)ā€”ā€”ā€”ā€”IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)ā€”ā€”ā€”ā€”IMP.OF KSHARA ...
Ā 
Model Call Girl in Bikash Puri Delhi reach out to us at šŸ”9953056974šŸ”
Model Call Girl in Bikash Puri  Delhi reach out to us at šŸ”9953056974šŸ”Model Call Girl in Bikash Puri  Delhi reach out to us at šŸ”9953056974šŸ”
Model Call Girl in Bikash Puri Delhi reach out to us at šŸ”9953056974šŸ”
Ā 
Class 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfClass 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdf
Ā 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
Ā 
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Incoming and Outgoing Shipments in 1 STEP Using Odoo 17
Ā 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
Ā 

3D chip stacking with C4 technology

  • 1. 3D chip stacking with C4 technology B. Dang S. L. Wright P. S. Andry E. J. Sprogis C. K. Tsang M. J. Interrante B. C. Webb R. J. Polastre R. R. Horton C. S. Patel A. Sharma J. Zheng K. Sakuma J. U. Knickerbocker Three-dimensional (3D) integration technology promises to continue enhancing integrated-circuit system performance with high bandwidth, low latency, low power, and a small form factor for a variety of applications. In this work, conventional C4 (controlled-collapse chip connection) technology is studied for robust interconnection between stacked thin chips. Various solder hierarchies to enable 3D chip stacking and packaging are investigated. Examples are presented to compare stacking schemes with sequential and parallel reflow. Chips as thin as 90 lm are stacked using conventional chip-placement and reflow processes, and the associated process challenges are investigated and discussed. Warpage of the thin chips is measured on various substrates. Rework of the chip stack has also been demonstrated through a temporary chip attachment operation, and the scalability of reworkable C4 is investigated. Introduction Integrated circuit (IC) technology has evolved from a transistor-centric era into an interconnect-centric era as the interconnect delay in critical paths now far exceeds the gate delay [1]. As feature sizes are further reduced to integrate more devices, the monolithic chip performance may degrade in contrast to the trend observed in the semiconductor industry predicted by Mooreā€™s Law [2]. Meanwhile, the form factor of computing systems continues to be reduced for most applications, from servers to handheld devices. Two-dimensional integration can no longer oļ¬€er the necessary packing density for devices and components. In addition, the ever-increasing demand for functionality requires that RF, analog, and mixed-signal circuits be integrated with the logic devices. There is a signiļ¬cant challenge to implement all these devices and circuits on the same layer of a monolithic substrate due to the incompatibility of manufacturing processes. Therefore, revolutionary interconnection schemes are needed for IC systems. By stacking multiple device and circuit layers, three-dimensional (3D) integration can enable much higher packing density, allow much shorter chip-to-chip interconnection paths, and make possible the heterogeneous integration of diļ¬€erent types of chips in the same area. Thus, it is a promising solution to solve the above problems and has become an area of focus in advancing IC technology [3, 4]. Stacking monolithically produced semiconductor chips can be accomplished using the following approaches: chip to chip, chip to wafer, and wafer to wafer. Among these options, wafer-to-wafer stacking allows all chips on the wafers to be bonded simultaneously and provides the highest manufacturing throughput. A number of wafer- to-wafer bonding methods have been recently reported. Cuā€“Cu thermal compression bonding can be performed at temperatures of less than 3008C with high bond strength [5]. Polymeric dielectric glue bonding allows relatively compliant bonding at a low temperature [6]. Also, a room-temperature direct oxide bonding technology, Ziptronix ZiBond, has been developed [7]. In spite of this progress, wafer-to-wafer stacking has some intrinsic limitations. First, high coplanarity is necessary to ensure intimate contact across the entire wafer area. Second, wafer-to-wafer stacking requires very high yield for the individual wafers to avoid yield loss for the bonded assembly. In addition, matching the wafer size and the chips is required, which can signiļ¬cantly constrain chip design. In contrast, chip-to-chip and chip-to-wafer stacking have advantages of ļ¬‚exibility in chip dimensions, the option of selectively bonding known good die, and a short time-to-market implementation. In addition, chip- to-chip and chip-to-wafer stacking can easily adapt to existing interconnection methods, such as wire-bonding ƓCopyright 2008 by International Business Machines Corporation. Copying in printed form for private use is permitted without payment of royalty provided that (1) each reproduction is done without alteration and (2) the Journal reference and IBM copyright notice are included on the ļ¬rst page. The title and abstract, but no other portions, of this paper may be copied by any means or distributed royalty free without further permission by computer-based and other information-service systems. Permission to republish any other portion of this paper must be obtained from the Editor. IBM J. RES. & DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL. 599 0018-8646/08/$5.00 ĀŖ 2008 IBM
  • 2. and solder technology, and are easier to implement. Today, chip stacking with wire bonding has already been widely used to reduce the overall form factor and thickness of products in memory and handheld applications [8]. As the demand for higher performance and higher bandwidth continues to increase, chip stacking with through-silicon vias (TSVs) for interconnection is being developed and receiving more attention [9ā€“12]. However, several issues need to be investigated for the manufacturing of TSV chip stacks. First, chip stacking involves handling of ultrathin Si substrates with a large number of TSVs. The thinned Si chips or wafers are very fragile and require special attention during processing and assembly. Tools and processes need to be developed to handle very thin chips and thin wafers at high throughput and low cost. Second, an assembly process needs to be investigated for robust interconnection between the multiple layers of thin chips and wafers at low cost. The C4 (controlled-collapse chip connection) technology that was developed by IBM in the 1960s has been widely used for high-I/O-count applications [13]. Thus, chip-stack assembly with C4 technology needs to be studied. Third, the reworkability of chip stacks and the encapsulation of multiple gaps between stacked thin chips should be investigated. Moreover, interconnection scaling needs to be explored to meet the increasing demand on I/O counts. In this work, chip-stack assembly with C4 technology is investigated. Various wafer-handler (or carrier) release methods are ļ¬rst reviewed, as this is critical to enable the handling and processing of thin chips and wafers. Chip- to-chip stack assembly results with a discussion on sequential or parallel bonding approaches are then presented. The results for chip-stack rework and multilayer chip stacking are demonstrated. Finally, the scalability of C4 technology is described for ultrahigh- density interconnection between stacked chips. Handling of thinned chips and wafers Si wafers become fragile as they are thinned, making the handling of them a signiļ¬cant challenge for the application of automated equipment. The force to bend a Si wafer Fmax to breaking is proportional to the square of thickness t [14], as indicated in Fmax Ā¼ Ct2 . In addition, the highest stress is experienced at the surface of the wafer, where defects are introduced by TSV processing and wafer dicing. Therefore, the mechanical strength of a thinned wafer or chip with TSV interconnects may be further reduced. While a full-thickness wafer (.700 lm) may require several hundred newtons to bend to breaking, a wafer thinned to 70 lm can be broken by a few newtons. To facilitate handling and processing, a handler wafer is usually attached to enhance the mechanical integrity of a Si wafer to be thinned. The most common approach is to laminate a device wafer with a handler wafer using a temporary adhesive [15, 16]. In general, a handler wafer should have a coeļ¬ƒcient of thermal expansion (CTE) closely matched to the device wafer to be processed. A handler wafer also serves as a stiļ¬€ener to keep a thinned wafer ļ¬‚at, allowing it to be handled as a regular wafer. Upon completion of wafer processing and dicing, the handler wafer then needs to be released from the processed Si wafer to allow TSV interconnection between the two sides of wafers or chips. Thus, debonding of the handler wafer is another important step for 3D integration. In recent years, several debonding approaches such as thermal release [15, 16] and laser ablation [17, 18] have been studied and reported. In order to select a proper release process for handling thinned wafers, the chemical stability of the adhesive and the wafer processing temperature should be considered. In addition, the handler wafer requirements are diļ¬€erent for the various release methods [15ā€“18]. For instance, the thermal release method involves the use of an adhesive that melts at elevated temperatures. The handler can be either a Si or a glass wafer, and a hot-sliding tool must be used to separate the processed wafer from the handler wafer [15, 16]. Laser ablation release has a long history in microelectromechanical system device fabrication and transfer [17, 18]. It requires the use of a transparent glass handler wafer and an adhesive that strongly absorbs the working laser for the selected wavelength. An advantage of the laser ablation approach is that it does not involve high temperature or wet chemical processing. However, stress may be induced because shock waves occur when the adhesive material is ablated by pulsed laser. Moreover, with any release method, it is desirable to be able to re-use the handler wafers to reduce the manufacturing cost. Thin chip stacking with C4 assembly Regardless of the release method, chip stacking can be performed between individual chips or between chips and wafers. In this work, we consider chip-to-chip assembly because of its ļ¬‚exibility. C4 technology has been widely used in high-I/O-count ļ¬‚ip chips for various applications because of proven manufacturability and reliability. Therefore, it is evaluated as an important interconnection solution for chip-to-chip stacking. Compared with regular full-thickness (.700 lm) chips, TSV chips are usually thinned signiļ¬cantly (,150 lm) to implement TSV interconnects. The tungsten-based TSV interconnects are fabricated with a CMOS-compatible TSV technology that is described in Reference [10]. The main assembly challenge concerns the bowing of the thinned TSV chips. In this work, the bowing is usually caused by inherent nonbalanced forces introduced by residual stress in the thin ļ¬lm layers, nonuniformity of the TSVs, and the B. DANG ET AL. IBM J. RES. & DEV. VOL. 52 NO. 6 NOVEMBER 2008 600
  • 3. Si substrate (a) (b) Si substrate (c) (d) Region of interest (ROI) 22.5 22.5 11.2 11.2 0.0 0.0 Region of interest (ROI) 22.8 22.8 11.4 11.4 0.0 0.0 0 20 40 60 80 100 20 20 15 15 10 10 5 5 0 0 0 20 40 60 80 100 20 20 15 15 10 10 5 5 0 0 22 m 49 m 0 m 0 m ā® ā® ā® ā® ā® (mm) (mm) (mm) (mm) (mm) (mm) ( m) ā® ( m) Figure 1 Chip bowing: (a) topography measurement of a thinned through-silicon via (TSV) chip with positive bowing and (b) the side view of the corners of the chip stack after assembly of a top chip; (c) topography measurement of a thinned TSV chip with negative bowing and (d) the side view of the corners of the chip stack after assembly of a top chip. IBM J. RES. & DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL. 601
  • 4. diļ¬€erent wiring layers on the two sides of the thinned chip. The direction of the bowing may vary for TSV chips depending on the diļ¬€erent processing conditions. In addition, the bowing may be temperature dependent. Therefore, the behavior of the C4 interconnects during the chip-stack assembly process needs to be studied. Ceramic substrates were ļ¬rst used to evaluate the chip- stack assembly because they usually have a relatively low CTE, very low warpage, and high mechanical integrity at elevated temperatures. During assembly, C4 solder melts at reļ¬‚ow temperature and then solidiļ¬es when the temperature decreases. Since the warpage of the ceramic substrate is negligible, the post-assembly chip warpage can be used as an indicator for the approximate warpage of the thin chip close to the solidiļ¬cation temperature. Figure 1(a) is an example of a thinned TSV chip (;75 lm thick) that is bowed upward at its center due to nonbalanced stress. In this example, warpage of as much as ;50 lm can be observed across the chip area at room temperature after the chip assembly. After a full-thickness chip is joined on such a thinned TSV chip, warpage across the chip area cannot be observed from the top of the stack. However, in a side view of the corners of the assembled stack structure, diļ¬€erent deformation can be observed for the two levels of C4 joints, as shown in Figure 1(b). Obviously, the lower-level C4s are signiļ¬cantly compressed, while the upper-level C4s are signiļ¬cantly elongated after the reļ¬‚ow, which is consistent with the intrinsic bowing from the thinned TSV chip. Figures 1(c) and 1(d) illustrate the opposite case in which the thinned TSV chip (;75 lm thick) bows upward by ;20 lm at the corners. As a result, the side view of the chip corners reveals that the lower-level C4s are elongated, while the upper-level C4s are signiļ¬cantly compressed. Since the same solder is used for both levels of C4s, both levels of C4s are melted simultaneously during reļ¬‚ow. Through process improvement, TSV chips with relatively little warpage were obtained and assembly of (a) (b) Chip TSV chip Same solder Chip TSV chip Different solder Figure 2 Two-layer stack (a) without a C4 (controlled-collapse chip connection) solder hierarchy and (b) with a solder hierarchy, that is, the upper C4s and the lower C4s are made of diļ¬€erent solders. (TSV: through-silicon via.) B. DANG ET AL. IBM J. RES. & DEV. VOL. 52 NO. 6 NOVEMBER 2008 602
  • 5. the chip-stack structure has been successfully achieved on ceramic substrates. With C4 technology, various solder alloys can be selected for the interconnection between the stack levels to create a solder hierarchy that allows a chip with high-melt C4s to be joined onto a chip with low-melt C4s or vice versa. Figure 2 shows examples of two-layer stacking of a regular full-thickness chip on top of a thinned TSV chip that is joined on a ceramic substrate. Figure 2(a) shows the same solder for the two levels of C4s, while Figure 2(b) shows diļ¬€erent solder alloys used for the two levels of C4s. The use of a solder hierarchy can potentially enable selective chip removal or rework of the chip stacks. Furthermore, with low-melt solder for the upper-level C4s and high-melt solder for the lower-level C4s, a top chip may be selectively removed and reworked at a lower temperature without aļ¬€ecting the lower-level high-melt C4s. Upon assembly, underļ¬lling is necessary to mechanically couple a chip with a substrate and protect C4s from moisture and corrosion. During the capillary underļ¬lling process, underļ¬ll is dispensed at an edge of a chip to allow the capillary force to ļ¬ll the gap between the chip and the substrate. In the case of a stack of two chips, two gaps need to be ļ¬lled. Thus, the amount of underļ¬ll material needs to be at least doubled. Experiments indicate that the capillary eļ¬€ect allows ļ¬lling of the two levels of gaps simultaneously. Acoustic scan inspection veriļ¬ed that void-free gap ļ¬lling can be achieved. The underļ¬lled two-layer chip stacks were then subjected to deep thermal cycling (DTC) (from 558C to ;1258C) and the samples passed 1,000 cycles without dc failure on all the tested C4 and TSV paths. In addition, test C4 and TSV chains have survived more than 1,000 hours at a constant 0.7 A during current stress tests. While more comprehensive reliability tests need to be performed, these preliminary results indicate the robust reliability of the C4 and TSV interconnects. Chip-stack rework and multilayer stack assembly Known good dies are desired for high assembly yield, but it is diļ¬ƒcult to perform thorough testing at the wafer level to verify perfect chips. As a result, rework is often performed, particularly for high-performance multichip modules (MCMs) because the overall package, as a system, costs much more than individual chips. In the past, temporary chip attachment (TCA) technology has been developed to prescreen chips to improve overall yield for high-performance MCM products [19]. With TCA technology, the number of reļ¬‚ows required for an MCM substrate is reduced during ļ¬nal assembly and test [19], which is beneļ¬cial to product reliability. To enable 3D chip stacks in these high-performance applications, a TCA process also needs to be developed for chip stacks. A scanning electron microscope (SEM) image of a reworked chip stack on a TCA substrate upon completion of testing is shown in Figure 3. Chip stacks Figure 3 Reworked two-layer chip stack. (a) (b) Figure 4 Chip stack (a) joined onto a laminate substrate after rework from a temporary chip attachment and (b) side view. IBM J. RES. DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL. 603
  • 6. have been successfully detached from the TCA without damage to the C4 bumps. The overall chip-stack structure was well maintained and the thinned interposer chip (,90 lm) remained intact. Since the hot-shear process temperature does not exceed the solder reļ¬‚ow temperature, the volume of C4 bumps is also well maintained. After the rework, the C4 bumps are usually reshaped through the reļ¬‚ow process. A reworked chip stack can then be treated as a thicker chip and joined onto a module substrate. As shown in Figure 4, a reworked stack of a full- thickness CMOS chip on a thinned TSV chip has been successfully joined onto an organic laminate. The two levels of C4 interconnects are visible in the side view. The two gaps of the joined chip stack have been successfully underļ¬lled simultaneously through a capillary underļ¬lling process. In general, the strain on a C4 between a chip and a substrate can be reduced if the standoļ¬€ is increased for a given distance to neutral point. In the case of the two-layer chip stack, the overall standoļ¬€ height for the top CMOS chip is increased as a result of the added level of C4s, which is beneļ¬cial to stress reduction for its fragile low-k dielectrics. In addition to stacking a full-thickness chip onto a thinned chip, stacking of multiple thinned TSV chips has also been demonstrated with C4 assembly. As shown in Figure 5(a), chips can be joined on a substrate with a sequential reļ¬‚ow process. In sequential reļ¬‚ow, ļ¬rst the bottom chip is joined onto the substrate followed by subsequent chips. A sequential process allows control of relative displacement between chips as each subsequent chip is joined onto the stack. Figure 5(b) shows examples of two-layer and three-layer stacks of thinned TSV chips (as large as 21 mm 3 20 mm) utilizing sequential reļ¬‚ow of C4 interconnections. An alternative approach, the parallel reļ¬‚ow process, has also been successfully demonstrated. By means of a conventional chip placement tool, multiple chips with C4 bumps are placed together and held in place with a tacky ļ¬‚ux before the reļ¬‚ow process. The simultaneous joining of multiple chips can then be achieved within a single reļ¬‚ow step, which is desired for increased manufacturing throughput. However, a displacement may be incurred during the placement of multiple chips since the C4 bumps are not joined until the reļ¬‚ow. Therefore, the placement process must be well controlled to allow the self-centering eļ¬€ect of the C4 bumps to accommodate the potential displacement between chips. Scalability of reworkable C4 technology for 3D chip stacking To meet the demand for high I/O counts in high- performance and high-bandwidth applications, ļ¬‚ip-chip I/O pitch needs to continue to be reduced over time. According to the International Technology Roadmap for Semiconductors, the area-array ļ¬‚ip-chip I/O C4 pitch will be less than 85 lm for high-performance applications by 2020 [20]. In addition, ļ¬ne-pitch wire-bond 3. Place and reflow 2. Place and reflow 1. Place and reflow Substrate Substrate Substrate (a) (b) Figure 5 Chip stacking: (a) the sequential reļ¬‚ow process; (b) two-layer and three-layer stacks of thinned through-silicon via chips. B. DANG ET AL. IBM J. RES. DEV. VOL. 52 NO. 6 NOVEMBER 2008 604
  • 7. interconnection in low-cost and memory applications needs to be replaced by ļ¬ne-pitch area-array interconnection due to the performance limitation of wire-bond technology in a high-frequency regime and I/O-count limitation. Thus, ļ¬ne-pitch C4 technology needs to be studied. In this work, micro-C4 bumps as small as 25 lm have been fabricated and joined onto Si-based test vehicles with bond pads that have various geometries and dimensions. With an optimal bonding proļ¬le, 100% bonding yield is demonstrated for a die with up to ;11,800 Pb-free SnCu micro-C4s joined on a Si substrate with contact pads as small as 10 lm. The average contact resistance of the micro-C4s on the various-size pads was measured using a four-terminal daisy-chain test structure. The measured dc resistance of the micro-C4s is plotted as a function of the bond pad area, as shown in Figure 6(a). As expected, the measured contact resistance increases as the bond pad size decreases. However, the measured contact resistance does not scale as a linear function of the contact area. As the contact area is reduced from ;415 lm2 to ;78 lm2 , the contact resistance increases from ;43 mX to only ;60 mX. This translates to an increase of ;40% in contact resistance when the contact pad area is decreased by a factor of ;5. This can be explained by the current- crowding eļ¬€ect, which creates an uneven distribution of current density across the C4 contact area [21, 22]. Figure 6(b) shows an example of the simulated current density distribution across a C4 interconnect structure. Since the on-chip and handler wiring thickness in the vertical direction is much smaller (;1.2 lm) compared to the contact dimensions in the lateral direction, the current density is the greatest near the edges of the contact via. Thus, the eļ¬€ective contact resistance does not scale linearly with the contact area as the contact size decreases. The implication of this result is that the electrical penalty is relatively small as C4 dimensions decrease to provide more I/O interconnections. As C4 dimension scales, the reworkability is still of interest, particularly for high-performance applications. Thus, rework of chips with micro-C4s has been investigated through mechanical removal. Shear experiments are performed using an Instron tester with a special ļ¬xture to ensure precise shear movement. The shear force is characterized for contact pad area as well as the shearing temperature. As expected, the shear force decreases as the contact area is reduced. The shear force at room temperature of the Pb-free micro-C4s joined onto 23-lm-diameter pads is as high as 4.6 gram-force and is reduced to ;1.5 gram-force when joined onto 5-lm 3 15-lm bond pads. To understand the fracture mechanisms occurring during the mechanical shear, the samples were inspected using a SEM. Figure 7 shows a comparison of two micro-C4s after the room- temperature shear experiments. The observed shear mechanism changes signiļ¬cantly as the dimension of the bond pads is reduced. The micro-C4 joined on the ;25-lm pads is completely damaged, while the micro-C4 joined on the 10-lm pad is only slightly scratched at the top. Apparently, the bond strength at the smaller contact interface is not enough to damage the bulk portion of the micro-C4s, thus allowing rework. To further reduce the removal force, shear experiments were also performed at elevated temperatures. As the temperature increased to 1858C (;408C below melting point), the shear force for chip removal was reduced to less than 0.5 gram-force per microbump. This reduction in shear strength is expected due to the creep eļ¬€ect of the solder at elevated temperatures, which further reduces the possibility of damage during the chip rework. With proper cleaning, the reworked dies with 11,800 micro-C4s have been successfully rejoined. 40 50 60 70 0 100 200 300 400 Pad area ( m2) (a) Contact resistance (mā€) ā® 2.0 1.8 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 (b) 2 2 4 6 0 0 ā«ŗ2 ā«ŗ4 ā«ŗ6 ā«»102 Current density [A/(m2)] Figure 6 A plot of (a) the average contact resistance of a micro-C4, plotted as a function of the bond pad area. (b) Simulated current density distribution for a C4 interconnection. IBM J. RES. DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL. 605
  • 8. Conclusion Stacking of thinned TSV chips has been demonstrated with C4 assembly for various schemes. Handling and release methods have been reviewed and compared for thin chips and thin wafers to enable chip stacking. The inļ¬‚uence of thin-chip warpage on the assembly results was investigated. With warpage control, assembly yield of 100% has been demonstrated for stacking a full-thickness chip onto a thinned TSV chip with several thousands of TSV and C4 interconnects. The two-layer chip stacks on ceramic substrates have survived 1,000 DTCs and 1,000 hours of current stressing test conditions, which indicates the robustness of the TSV and C4 interconnections. Various solder hierarchies have been demonstrated and discussed. A two-layer stack on TCA has been reworked through hot shear without damage, followed by successful joining of the reworked chip stack onto organic laminates. In addition, multiple layers of thinned TSV chips have been stacked through both sequential and parallel reļ¬‚ow. Finally, the scalability of reworkable C4s has been demonstrated to enable future chip stacking with ultrahigh interconnection density. Electrical and mechanical characteristics of the micro-C4s were studied for reduced-size bond pads on Si-based substrates to enable the TCA process. Dies with more than 11,800 micro-C4s at 50-lm pitch have been successfully reworked without damage. Acknowledgments This work has been partially supported by DARPA PERCS Agreement NBCH30390004 and by Maryland Procurement Oļ¬ƒce (MPO) contract H98230-07-C-0409. We acknowledge technical support from R. Sirdeshmukh, A. Prabhakar, M. Mason, and D. Dimilia at the IBM T. J. Watson Research Center. We also acknowledge F. Pompeo, Mark Kapfhammer, W. Sablinski, R. Marsh, and G. Advocate at the IBM Systems and Technology Group, East Fishkill, New York, and the support of management at the IBM T. J. Watson Research Center, T. Chainer, D. Seeger, and T.-C. Chen. References 1. J. D. Meindl, J. A. Davis, P. Zarkesh-Ha, C. S. Patel, K. P. Martin, and P. A. Kohl, ā€˜ā€˜Interconnect Opportunities for Gigascale Integration,ā€™ā€™ IBM J. Res. Dev. 46, No. 2/3, 245ā€“263 (2002). 2. J. A. Davis and J. D. Meindl, Interconnect Technology and Design for Gigascale Integration, Kluwer Academic Publishers, Boston, 2003. 3. A. W. Topol, D. C. La Tulipe, L. Shi, S. M. Alam, D. J. Frank, S. E. Steen, J. Vichiconti, et al., ā€˜ā€˜Enabling SOI-Based Assembly Technology for Three-Dimensional (3d) Integrated Circuits (ICs),ā€™ā€™ Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, 2005, pp. 352ā€“355. 4. J. U. Knickerbocker, C. S. Patel, P. S. Andry, C. K. Tsang, L. P. Buchwalter, E. J. Sprogis, H. Gan, et al., ā€˜ā€˜3-D Silicon Integration and Silicon Packaging Technology Using Silicon Through-Vias,ā€™ā€™ IEEE J. Solid-State Circuits 41, No. 8, 1718ā€“1725 (2006). 5. K. N. Chen, C. S. Tan, A. Fan, and R. Reif, ā€˜ā€˜Morphology and Bond Strength of Copper Wafer Bonding,ā€™ā€™ Electrochem. Solid-State Lett. 7, No. 1, G14ā€“G16 (2004). 6. F. Niklaus, G. Stemme, J.-Q. Lu, and R. J. Gutmann, ā€˜ā€˜Adhesive Wafer Bonding,ā€™ā€™ J. Appl. Phys. 99, No. 3, 1101 (2006). 7. Ziptronix, Inc., ZiBond Direct Wafer Bonding Technology; see http://www.ziptronix.com/techno/zibond.html. 8. M. Karnezos, ā€˜ā€˜3D Packaging: Where All Technologies Come Together,ā€™ā€™ Proceedings of the IEEE/CPMT/SEMI 29th International Electronics Manufacturing Technology Symposium, San Jose, CA, 2004, pp. 64ā€“67. 9. K. Takahashi, Y. Taguchi, M. Tomisaka, H. Yonemura, M. Hoshino, M. Ueno, Y. Egawa, et al., ā€˜ā€˜Process Integration of 3D Chip Stack with Vertical Interconnection,ā€™ā€™ Proceedings of the 54th Electronic Components and Technology Conference, Las Vegas, NV, 2004, pp. 601ā€“609. 10. P. S. Andry, C. Tsang, E. Sprogis, C. Patel, S. L. Wright, and B. C. Webb, ā€˜ā€˜A CMOS-Compatible Process for Fabricating Electrical Through-Vias in Silicon,ā€™ā€™ Proceedings of the 56th (a) (b) Figure 7 The damage level after room-temperature shear of the micro-C4s that were bonded onto substrate pads with (a) ;25-lm diameter and (b) ;10-lm diameter. B. DANG ET AL. IBM J. RES. DEV. VOL. 52 NO. 6 NOVEMBER 2008 606
  • 9. Electronic Components and Technology Conference, San Diego, CA, 2006, pp. 831ā€“837. 11. M. Kawano, S. Uchiyama, Y. Egawa, N. Takahashi, Y. Kurita, K. Soejima, M. Komuro, et al., ā€˜ā€˜A 3D Packaging Technology for 4 Gbit Stacked DRAM with 3 Gbps Data Transfer,ā€™ā€™ Proceedings of the IEDM International Electron Devices Meeting, San Francisco, CA, 2006, pp. 1ā€“4. 12. K. Sakuma, P. S. Andry, C. K. Tsang, S. L. Wright, B. Dang, C. S. Patel, B. C. Webb, et al., ā€˜ā€˜3D Chip-Stacking Technology with Through-Silicon Vias and Low-Volume Lead-Free Interconnections,ā€™ā€™ IBM J. Res. Dev. 52, No. 6, 611ā€“622 (2008, this issue). 13. K. DeHaven and J. Dietz, ā€˜ā€˜Controlled Collapse Chip Connection (C4)ā€”An Enabling Technology,ā€™ā€™ Proceedings of the 44th Electronic Components and Technology Conference, Washington, DC, 1994, pp. 1ā€“6. 14. G. Coletti, C. J. J. Tool, and L. J. Geerligs, ā€˜ā€˜Mechanical Strength of Silicon Wafers and Its Modelling,ā€™ā€™ Proceedings of the 15th Workshop on Crystalline Silicon Solar Cells Modules: Materials and Processes, Vail, CO, 2005; see http:// www.ecn.nl/docs/library/report/2005/rx05133.pdf. 15. V. Dragoi, T. Glinsner, G. Mittendorfer, M. Wimplinger, and P. Lindner, ā€˜ā€˜Reversible Wafer Bonding for Reliable Compound Semiconductor Processing,ā€™ā€™ Proceedings of the 18th IEEE International Semiconductor Conference, Garmisch, Germany, 2002, pp. 331ā€“334. 16. Brewer Science, Inc., Enabling High-Temperature Processing and Increased Throughput of Ultrathin Wafers; see http:// www.brewerscience.com/products/waferbond-ht-system/. 17. A. S. Holmes and S. M. Saidam, ā€˜ā€˜Sacriļ¬cial Layer Process with Laser-Driven Release for Batchassembly Operations,ā€™ā€™ J. Microelectromech. Syst. 7, No. 4, 416ā€“422 (1998). 18. M. Despont, U. Drechsler, R. Yu, H. B. Pogge, and P. Vettiger, ā€˜ā€˜Wafer-Scale Microdevice Transfer/Interconnect: From a New Integration Method to Its Application in an afm- Based Data-Storage System,ā€™ā€™ Proceedings of the 12th International Conference on Solid-State Sensors, Actuators and Microsystems, Boston, MA, 2003, pp. 1907ā€“1910. 19. E. Atwood, C. Browne, and K. Kelly, ā€˜ā€˜KGD Production for Controlled Chip Collapse Connection (C4) Applications,ā€™ā€™ Proceedings of 7th International Conference on Multichip Modules and High Density Packaging, Denver, CO, 1998, pp. 362ā€“367. 20. International Technology Roadmap for Semiconductors, ITRS 2006 Update; see http://www.itrs.net/Links/2006Update/ 2006UpdateFinal.htm. 21. B. Dang, S. L. Wright, P. S. Andry, C. K. Tsang, C. Patel, R. Polastre, R. Horton, et al., ā€˜ā€˜Assembly, Characterization, and Reworkability of Pb-Free Ultra-Fine Pitch C4s for System-on-Package,ā€™ā€™ Proceedings of 57th Electronic Components and Technology Conference, Reno, NV, 2007, pp. 42ā€“48. 22. S. L. Wright, R. Polastre, H. Gan, L. P. Buchwalter, R. Horton, P. S. Andry, E. Sprogis, et al., ā€˜ā€˜Characterization of Micro-bump C4 Interconnections for Si-Carrier SOP Applicationsā€™ā€™ Proceedings of the Electronic Components and Technology Conference, 2006, pp. 633ā€“640. Received January 4, 2008; accepted for publication Bing Dang IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (dangbing@us.ibm.com). Dr. Dang is a Research Staļ¬€ Member in the System-on-Package/3D Integration Group. He has worked on various research projects including compliant wafer- level packaging, ļ¬ne-pitch ļ¬‚ip-chip, 3D chip-stacking and integration, and thermal management technologies. He received his Ph.D degree in electrical and computer engineering at Georgia Institute of Technology in 2006. He also holds three M.S. degrees in electrical and computer engineering, materials engineering, and metallurgical physical chemistry. He received his B.S. degree in metallurgical physical chemistry at the University of Science and Technology, Beijing, China, in 1995. He has authored and coauthored more than 40 publications in international conferences and journals in the ļ¬eld of integrated circuit packaging. He has contributed to eight patent applications. Dr. Dang received a Best Invited Paper Award at the IEEE 2007 Custom Integrated Circuits Conference and an Outstanding Paper Award at the IEEE 2007 Electronic Components and Technology Conference. He is a member of the IEEE. Steven L. Wright IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (wrightsl@us.ibm.com). Dr. Wright is a Research Staļ¬€ Member in the System-on-Package Group within the Electronic and Optical Packaging department. He received B.S. and M.S. degrees in electrical engineering from the University of Colorado in 1975 and 1978, working in the area of liquid-phase epitaxial growth of IIIā€“V compound semiconductor heterojunctions. He received a Ph.D. degree in electrical engineering from the University of California, Santa Barbara in 1982. His doctorate work involved the ļ¬rst successful growth of GaP on Si by molecular beam epitaxy, as well as fabrication of a heterojunction bipolar transistor with a wide bandgap emitter. Since the start of his career at IBM in 1982, he has worked in the areas of materials science and device physics of IIIā€“V compound semiconductor heterojunctions, liquid-crystal amorphous Si ļ¬‚at panel display technology, development and marketing of high-resolution visualization technology, and silicon-carrier packaging technology. He is currently focusing on test and reliability issues for silicon-carrier technology. Dr. Wright has received six IBM Invention Achievement Awards and three Research Division Awards, and he has contributed to more than 150 publications. Paul S. Andry IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (andry@us.ibm.com). Dr. Andry is a Research Staļ¬€ Member at the IBM T. J. Watson Research Center. He joined IBM in 1997, working in the Advanced Display Technology Laboratory on a variety of projects including prototypes of the worldā€™s highest information content display, as well as the worldā€™s ļ¬rst a-Si-driven OLED display. After serving as Technical Assistant to the Vice President of Science and Technology, he returned to technical work in the System-on-Package Group where he has been working on development of key 3D technology elements and applications of silicon-carrier technology. He is a coeditor of the book Thin Film Transistors, author or coauthor of more than 35 professional publications, and holder of more than 30 issued or pending patents. Dr. Andry received his B.Sc. degree (1986) in physics from the University of Waterloo, Ontario, Canada, his M.Sc. degree (1990) in physics from the UniversiteĢ de Sherbrooke, Quebec, Canada, and his Ph.D. degree (1997) in materials science from the University of Vermont, Burlington. He has received two Outstanding Technical Achievement Awards, two Technical Group Awards, one Research Division Award, and eight IBM Invention Plateau Awards. IBM J. RES. DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL. 607 February 22, 2008; Internet publication October 24, 2008
  • 10. Edmund J. Sprogis IBM Systems and Technology Group, 1000 River Street, Essex Junction, Vermont 05452 (u6474@us.ibm.com). Mr. Sprogis holds the B.S.E.E. degree from Worcester Polytechnic Institute and the M.S.E.E. degree from the University of Vermont. He joined IBM in 1978 performing electrical characterization and defect diagnostics in various logic technologies. He then moved into DRAM technology development and worked on advanced cell structures, architectures, and designing novel test vehicles for the characterization of 4-Mb to 1,284-Mb generation DRAM cells. In 1994, he served as lead engineer for several packaging development projects ranging from stacked die packages to silicon cubes. He is currently a Senior Engineer and, since 2002, has been developing and qualifying TSV technology for high-performance 3D applications. Cornelia K. Tsang IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (cktsang@us.ibm.com). Ms. Tsang is a Senior Engineer at the IBM T. J. Watson Research Center. She joined the IBM Research Division in 2001 and has worked since then in the System-on-Package Group on novel process development and integration of silicon-based packages. Her research interests include through-silicon via technology, silicon-carrier integration, 3D integrated circuits, chip stacking, and various types of chip- and wafer-level assembly and bonding. She is an author or coauthor of more than 17 professional publications and holder of 16 issued or pending patents. Ms. Tsang received her B.S. and M.S. degrees in materials science and engineering from the Massachusetts Institute of Technology in 2000 and 2001, respectively. Mario J. Interrante IBM Systems and Technology Group, Microelectronics Division, 2070 Route 52, Hopewell Junction, New York 12533. Mr. Interrante has worked in electronic packaging development at IBM for 38 years. He has more than 24 patents in the areas of plating, wire bonding, ball and column grid array, and ļ¬‚ip-chip joining and chip rework. He has received three IBM Division Awards and an IBM Portfolio Award. He has authored and coauthored numerous papers in electronic packaging. Bucknell C. Webb IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (bcwebb@us.ibm.com). Dr. Webb is a Research Staļ¬€ Member working in the System Measurement and Test Group. He received a B.A. degree in physics from Harvard University and a Ph.D. degree in physics from Cornell University for studies on the far-infrared reļ¬‚ectivity of valence-ļ¬‚uctuating compounds, primarily CePd3. He joined IBM in 1988, working initially with optical imaging and the high-frequency (100-MHz) magnetic response of magnetic thin ļ¬lms such as in disk drive recording heads. In 1992, he and his group began work on what would become the self-servo-writing process used to create the servo data on disk drives. In 2002, Dr. Webb shifted to working on thermal and thermomechanical problems in microelectronics, with a focus on technology and applications of silicon interposers. He is an author of more than 24 scientiļ¬c papers and 33 U.S. patents. Robert J. Polastre IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (polastr@us.ibm.com). Mr. Polastre is an Advisory Engineer working in the System-on-Package Group. He joined the IBM Research Division in 1983. He holds a B.S. degree in electronic technology from LaSalle University. He received two IBM Outstanding Technical Achievement Awards and an IBM Corporate Award for his work on array testing of thin-ļ¬lm transistors. He is the author or coauthor of several papers on automated and thermal testing and is a coinventor of several patents in these areas. Raymond R. Horton IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598. Mr. Horton is a Staļ¬€ Engineer. He is a member of the System-on-Package Group and is responsible for bonding, assembly, and testing of interconnections. His work experience includes ļ¬‚at-panel display assembly and test and packaging. He is the coauthor of numerous technical publications and patents. Mr. Horton is the recipient an IBM Outstanding Technical Achievement Award. Chirag S. Patel IBM Research Division, Thomas J. Watson Research Center. Dr. Patel is a Research Staļ¬€ Member in the Science and Technology Department at the IBM T. J. Watson Research Center. He holds B.S., M.S., and Ph.D. degrees, all in electrical engineering, from the Georgia Institute of Technology. In 2001, he joined the IBM Research Division, where he continues to work on the design and characterization of advanced and exploratory systems based on silicon handler technology. He is an author or coauthor of more than 50 technical papers. Arun Sharma IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (ash1@us.ibm.com). Mr. Sharma has worked in the IBM Research Division for more than 25 years. His current focus is high-density electronic packaging, organic substrate characterization, mechanics simulation, and system thermal solutions. He has worked extensively in hard disk drive servo-mechanics design, shock ruggedization, and disk servo systems simulation. He received IBM Outstanding Technical Achievement and Research Division Awards for disk shift shock resistance solutions, dynamic track misregistration error analysis of head-disk assembly platforms, shock ruggedization of IBM Travelstar disk drives, and the development of impact printer sensors. He holds more than 30 patents. He received a B.E. degree in electrical engineering from the Indian Institute of Technology, India, and an M.S. degree in computer science from Polytechnic University. Jiantao Zheng IBM Systems and Technology Group, Microelectronics Division, 2070 Route 52, Hopewell Junction, New York 12533 (jzheng@us.ibm.com). Mr. Zheng is a Development Engineer. He joined IBM in 2006 and he currently works on the mechanical stress simulation and testing of electronic packages, interconnections, devices, and materials. Mr. Zheng received B.S. degrees in mechanical engineering and industrial engineering, both from Tsinghua University, China, and an M.S. degree in mechanical engineering from the Georgia Institute of Technology. He is currently a Ph.D. candidate in mechanical engineering at the Georgia Institute of Technology. He has published nine technical papers on thin-ļ¬lm delamination and testing, sensor fabrication, and testing. Katsuyuki Sakuma IBM Research Division, IBM Tokyo Research Laboratory, 1623-14 Shimo-tsuruma, Yamato-shi, Kanagawa-ken 242-8502, Japan (sakuma2@jp.ibm.com). Mr. Sakuma is a Research Staļ¬€ Member in the Electronic and B. DANG ET AL. IBM J. RES. DEV. VOL. 52 NO. 6 NOVEMBER 2008 608
  • 11. Optical Packaging Group. He holds B.S. and M.S. degrees in mechanical engineering from Tohoku University, Japan. He is currently pursuing his Ph.D. degree in advanced science and engineering from Waseda University, Japan. He joined the IBM Tokyo Research Laboratory in 2000 working on the research and development of a liquid crystal display source driver. Following an assignment in the IBM Research Division, Thomas J. Watson Research Center, New York, he returned to the Tokyo Research Laboratory and is now the leader of 3D chip-stacking research. His research interests include high-density, low-volume solder interconnections, system-on-package, wafer-level packaging, and 3D integration technologies. He is an author or coauthor of more than 22 conference and journal publications and holds seven issued or pending patents. He was an associate editor of the Institute of Electronics, Information and Communication Engineers (IEICE) from 2003 until 2005. He is a member of the IEICE, the Japan Society of Applied Physics, and the Japan Institute of Electronics Packaging. John U. Knickerbocker IBM Research Division, Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (knickerj@us.ibm.com). Dr. Knickerbocker is an IBM Distinguished Engineer. He is Manager of the System-on- Package/3D Integration Group. He holds a Ph.D. degree in engineering from the University of Illinois. He worked in IBM Microelectronics at East Fishkill, New York, from 1983 to 2003 where he held a series of engineering and management positions leading to director of IBM worldwide packaging development. In 2003, he joined the IBM Research Division, where he has led the development of next-generation 3D silicon integration including die stacking and silicon packaging, each with TSVs, thinned silicon, and ļ¬ne-pitch interconnection. Dr. Knickerbocker has received an IBM Corporate Award, three Division Awards, and 39 Invention Plateau awards. He has authored or coauthored 150 patents or patent applications and more than 40 technical papers and publications. He serves as a member of the Sematech 3D working group. He has been a member of the IEEE, IMAPS, and is a Fellow of the American Ceramic Society. IBM J. RES. DEV. VOL. 52 NO. 6 NOVEMBER 2008 B. DANG ET AL. 609