SlideShare a Scribd company logo
1 of 29
Download to read offline
From Technologies to Markets
3D Packaging:
A Key Enabler
for Further
Integration
and
Performance
European 3D Summit
Thibault Buisson
Business Unit Manager - buisson@yole.fr
Grenoble | France – January 23-25th, 2017
2
QUICK OUTLINE
oWhat IS and WILL be driving 3D Packaging?
oA closer look…on 3D Packaging Technology
oPackaging Trends
oKey Messages
What IS andWILL be
driving 3D Packaging?
4
WHAT IS AND WILL BE DRIVING ADVANCED PACKAGING & 3D PACKAGING?
Smartphones
Tablets
…
IoT,Wearables, …
AR/VR handsets
Automotive
Connected cars
Autonomous vehicles…
Datacenters,Networking,
HPC, Photonics
Deep learning
Graphics…Artificial Intelligence
…
5
WHAT IS AND WILL BE DRIVING ADVANCED PACKAGING & 3D PACKAGING?
Smartphones
Tablets
IoT,Wearables, …
AR/VR handsets
Automotive
Connected cars
Autonomous vehicles…
Datacenters,Networking,
HPC
Deep learning
GraphicsArtificial Intelligence
Key Drivers:
Form
Factor
Increase
Functionnalites
Performance
Reduced
Cost
6
GLOBAL TECHNOLOGY ROADMAP
Moore and beyond: from information to interaction and transformation
@2015 | www.yole.fr | Sensors and Data Management for Autonomous Vehicles
1980 2010 2030
Moore More than Moore Beyond Moore
LaptopPersonal computers
Smartphones
Autonomous
vehicles
Robotic
servants
Quantified
self
Drones
Acceleration
Sensing
Interaction age
Processing
Information age
Actuating
Transformation age
Tablets
Smart
homes
2040
Telekinesis
Space travel
Yole Développement © August2015
Technology x
Market
Development
MEMS & sensors
enable key
functionalities…
…which are the
industry’s current
battleground
7
Sound
Interface
Security
Communi-
cation
Motion
Environmental
Optical
MEMS AND SENSORS IN MOBILE DEVICES
Security,
sound and
3D Imaging,
the next
value
propositions
of
smartphones
…
@2017 | www.yole.fr | 3D Summit
Capacitive MEMS
Microphone
Piezoelectric MEMS
Microphone
CIS (Front, Rear, Multi, 3D)
Spectral sensing (IR, multispectral)
Autofocus (VCM, liquid
lens, MEMS, piezo)
Particle, gas sensor
Temp. / Humidity sensor
Accelerometer
Gyroscope
Magnetometer
Combos (IMU, eCompass, 9DOF)
Pressure sensor
Antenna tuner, filters, …
MEMS oscillator
Face/Eye/Iris
recognition
Fingerprint
sensor
Laser ranger
3D Touch
ALS, proximity, RGB
Laser ranger
8
LANDSCAPE OF SENSORS USED IN SMARTPHONE MARKET
2007 - 2014
Since the advent of smartphones and tablets, the landscape of sensors integrated has really changed…
@2017 | www.yole.fr | 3D Summit
2007 2014
Accelerometer
Accelerometer
Gyroscope
Magnetometer
3 sensors 12 sensors
Microphone x1
CIS x1
Microphone x2
Pressure
Fingerprint
HRM
CIS x2
ALS
Proximity
2-in-1 (6A-IMU)
2-in-1
9
LANDSCAPE OF SENSORS USED IN SMARTPHONE MARKET
2014 - 2021
With a profusion of sensors
@2017 | www.yole.fr | 3D Summit
2014 2021
Accelerometer
Gyroscope
Magnetometer
12 sensors 20 sensors
ALS
Proximity
RGB
CIS x4
Laser ranger
IR sensor
Fingerprint
HRM
Pressure
Microphone x3
Gas sensor
Accelerometer
Gyroscope
Magnetometer
Microphone x2
Pressure
ALS
Proximity
Fingerprint
HRM
CIS x2
3-in-1
2-in-1 (6A-IMU)2-in-1 (6A-IMU)
2-in-1
10©2016 | www.yole.fr | 3D TSV & 2.5D Interconnect - Business Update Report 2016
MORE FUNCTIONALITIES NEED PERFORMANCE
Vertical integration is the current (huge) battle
Manage all
the chain is
a key
advantage
…
that’s why
all OEMs
develop
their own
APU
APU
Apple
A10
Samsung
Exynos 8
Qualcomm
Snapdragon 820
HiSilicon Kirin
955
MediaTek Helio
X25
Package type InFO 1178-ball PoP BGA
1027-ball PoP BGA
MCeP
PoP PoP
Process 14nm FinFET (e) 14nm LPP 14nm LPP 16nm FF+ 20nm
CPU
4x or 6x Cortex
A72 @2GHz (e)
4x A53 + 4x Exynos
M1 @2.3GHz
4x Kryo
@2GHz
8x Cortex A72/53
@2.5GHz
10x Cortex A72/53
@2.5GHz
Size (mm) 15x15x1 (e) 15.4x14.5x1 15.3x16x1 / /
Pin pitch (mm) 0.4 0.4 0.4 0.4 /
Foundry TSMC (e) Samsung Samsung/Shinko TSMC ? TSMC ?
Co-processor
(for Sensor fusion)
M10 (e) / / / ARM Cortex M4
A closer look…on 3D
PackagingTechnology
12©2016 | www.yole.fr
DIFFERENT ARCHITECTURES OF ACCELEROMETER USING 3D APPROACH
2013 20142012 2015 - 2016
LGA package LGA package LGA packageWLCSP WLCSP
Source: mCube
13©2016 | www.yole.fr
3-AXIS ACCELEROMETER PACKAGE SIZE – SIZE REDUCTION
Surface: SST= 4mm²
Package thickness:
TST= 1mm
Surface: SmCube= 4mm²
Package thickness:
TmCube= 0.9mm
Surface: SBosch= 1.8mm²
Package thickness:
TBosch= 0.8mm
2013 20142012 2015 2016 2017
Surface: SmCube= 4mm²
Package thickness:
TmCube= 0.9mm
Surface: SmCube= 2,56mm²
Package thickness:
TmCube= 0.94mm
Surface: SmCube= 1,21mm²
Package thickness:
TmCube= 0.74mm
LGA package LGA package LGA packageWLCSP WLCSPLGA package
o Driven by IoT WLP will be one of the next key trend for MEMS and Sensors devices!
Source: mCube
70% reduction in package size enabled by 3DTSV andWLP
14©2016 | www.yole.fr
FILM BULK ACOUSTIC RESONATOR (FBAR) - BAW FILTER FROM AVAGO
2015 2016
LGA package
o 2 MEMS
o 4 layers organic substrate
System in Package (SiP)
o Multi-dies (SAW, FBAR, Swith…)
o 7 layers coreless substrate
o Extracted from Iphone 6S
2013
System in Package (SiP)
o Multi-dies (BAW, Switches…)
o 7 layers coreless substrate
o Extracted from Samsung S7
o TSV is used to connect the
membrane of the FBAR
o Thickness of the cap has been
reduced and thereforeTSV depth as
well
o Used of coreless substrate to enable
multi dies integration
15©2016 | www.yole.fr
OTHER MEMS & SENSORS 3D PACKAGING
ALS
(Ambiant Light Sensor)
Finger Print SensorIMU
6-Axis Accelerometer
2015 2015 2015Oscillator 2010
And much more
to come!
LGA package WLCSP WLCSP
16©2016 | www.yole.fr
CMOS IMAGE SENSOR 3D STACKING
PixelArray
circuit
(BSI)
Logic
circuit
TSV Generation 1 TSV Generation 2 Cu-Cu Hybrid Bonding
Low temperature Oxide Oxide bonding with TSV or hybrid bonding without TSV
What is next?
Muti stacked
wafers
17©2016 | www.yole.fr
LAST FLAGSHIP – APE 3D PACKAGING COMPARISON
1,14
1 1
0,73
FC PoP FC PoP MCeP inFO
Kirin 955 Exynos 8 Snapdragon 820 A10
Package On PackageThickness [µm]
1mm>
0
PoP thickness
APE Package thickness
~0,5mm
o Thinner is better!
o Clear trend to reduce APE package
thickness
o TSMC with inFO technology as
reached a very thin package size
that leads to better performance.
o The Fan Out penetration in the APE
segment has a direct impact on the
advanced substrate makers. Loss
estimated to be few hundreds of M$
o So…What could be next?
~0,4mm
18©2016 | www.yole.fr
FAN-OUT APPLICATIONS
Different applications with different needs and characteristics (Density, package size)
Codec
DC-DC
Wifi
BB
PMU/PMIC
RF
Application
Processors (APE)
+ BB
APE+DRAM
GPU, CPU + Memory
(Wide IO, etc…)
High Bandwidth
Memories
FPGA
Processors + Memories
IO density (IO/mm/layer)
200
100
1
2x2 5x5 10x10 15x15 20x20 >20x20 >>20x20 Package size
(mmxmm)
MEMS
CMOS Image Sensors
Display Drivers
10
>>200
19©2016 | www.yole.fr
MEMS
CMOS Image Sensors
Display Drivers
Codec
DC-DC
Wifi
BB
PMU/PMIC
RF
Application
Processors
(APE) + BB
APE+DRAM
GPU,CPU+Memory
(Wide IO, etc…)
High Bandwith Memories
FPGA
Processors+Memories
FAN-OUT APPLICATIONS
Potential applications for Fan-Out:Where does Fan-Out fit and how?
Single Chip FOWLP
Multi-Chip
FO PoP withTMV
FO SiP
Multi-Chip
FO PoP withTMV
IO count
10000
1000
100
2x2 5x5 10x10 15x15 20x20 >20x20 >>20x20
Package
size
(mmxmm)
20©2016 | www.yole.fr
LAST FLAGSHIP – APE 3D PACKAGING COMPARISON
What we can expect :
o The substrate manufacturers will strike back
o Strong developpement to reduce L/S and substrate thickness
o Alternative technologies such as embedded die could be used (such as MCeP type…)
o Advanced PoP (HB-PoP) to be used
o Several options for Fan Out Packaging and alternatives across different players (mainly
OSATs)
o Other products or players to use Fan Out Packaging
o New generation inFO
o Package thickness continues to reduces (Fan out used for top dies i.e: memories)
o Chip last approach to compete with Chip first.
o Fan Out to spread in High End Segments (High I/O) such as networking (High End
Applications…)
o Panel level Packaging developpement to reduce cost of processing
PackagingTrends
22
RDL/Metal LAYERS INCREASINGWITH NEEDS FOR HIGHER PERFORMANCE
RDL/
Metal
layers
Increased Performance enabled by integration, higher I/Os, Reduced Pitch Size
Xilinx/TSMC CoWoS (FPGA)
• 12 substrate build-up layers (6-2-6)
are not able to support below FPGAs
– interposer needed
• Passive TSV interposer
2 RDL Layers:
Package total thickness
(without balls) - 0.29mm
• Top Protective layer
thickness: 0.024mm
• Silicon Substrate thickness:
0.230mm
• Metal layers + RDL thickness:
0.040mm
HiSilicon AudioDecoder
in Huawei Ascend Mate 7
M
6
(
A
l)
RDL (Copper,
4.7µm)
UBM
(Copper,
6µm)
Solder
Ball
(SAC)
Die Passivation
(SiO2+SiN)
Polyimide
Passivation (5µm)
Polyimide
Passivation
(6µm)
Polyimide
Passivation
(3µm)
RDL (Copper,
4.7µm)
Si
Substrate
M
5
(
C
u
)
M
6
(
A
l
)RDL (Copper,
4µm)
UBM
(Copper,
4µm)
Solde
r Ball
(SAC)
Die Passivation
(SiO2+SiN)
Polyimide
Passivation
(6µm)
Polyimide
Passivation
(5.5µm)
1 RDL Layer:
Package total thickness
(without balls): 0.34mm
• Top Protective layer thickness:
0.024mm
• Silicon Substrate thickness:
0.295mm
• Metal layers + RDL thickness:
0.024mm
QualcommTransceiver in
Apple iPhone 6+
WLCSP
WLCSP
Mobile Logic
Higher I/Os
2 RDL Layers:
Package total thickness -
0.33mm
Fan-Out WLP
Renesas Microcontroler
(MCU)
14 RDL Layers:
• 4/2/4 FC substrate and 3 Cu damascene
layers + 1 Al layer in Si interposer
• Package total thickness - 2.32 mm
2.5D Interposer
Front End Module:
Qorvo in Apple iPhone 6+
7 RDL Layers:
Package total thickness -
0.9mm
Coreless FC SiP
WLP (Fan in & Out)
SiP 2.5D / 3DTSVTechnology
Key Messages
24
TO CONCLUDE
o Scaling of transistors is getting more and more complex. To enable solutions, focus is therefore
on Advanced Packaging throught different platforms and mainly on 3D Packaging Platforms.
o Fan Out Packaging has penetrated the middle end market for application processor. It is foreseen
to expand in that segment and possibly for high end market. Several solutions are being proposed by
many players to gain market share.
o Fan Out Packaging may impact the flip chip technology and mainly advanced substrates makers. We
do expect the substrate makers to come-up with more advanced technical specifications.
o Moving to Fan Out Packaging is a strategic decision. Some fabless makers may take their time to
switch to this technology.
o High End market pushed by more demand in performance will continue to see advanced Packaging
technologies emerging.
@2017 | www.yole.fr | 3D Summit
25
Thank you!
@2017 | www.yole.fr | 3D Summit
26©2016 | www.yole.fr | About Yole Développement
FIELDS OF EXPERTISE
Yole Développement’s 45 analysts operate in the following areas
MEMS & Sensors
LED
Compound
Semi.
Imaging
Photonics
MedTech
Manufacturing
Advanced
Packaging
Batteries / Energy
Management
Power
Electronics
Displays
RF
27©2016 | www.yole.fr | About Yole Développement
4 BUSINESS MODELS
o Consulting and Analysis
• Market data & research, marketing analysis
• Technology analysis
• Strategy consulting
• Reverse engineering & costing
• Patent analysis
www.yole.fr
o Reports
• Market &Technology reports
• Patent Investigation and patent infringement risk
analysis
• Teardowns & Reverse Costing Analysis
• Cost SimulationTool
www.i-Micronews.com/reports
o Financial services
• M&A (buying and selling)
• Due diligence
• Fundraising
• Maturation of companies
• IP portfolio management & optimization
www.yolefinance.com
www.bmorpho.com
o Media
• i-Micronews.com website
• @Micronews e-newsletter
• Communication & webcast services
• Events
www.i-Micronews.com
28©2016 | www.yole.fr | About Yole Développement
A GROUP OF COMPANIES
Market,
technology and
strategy
consulting
www.yole.fr
Due diligences
www.yolefinance.com
Innovation and business maker
www.bmorpho.com
Manufacturing costs analysis
Teardown and reverse engineering
Cost simulation tools
www.systemplus.fr
IP analysis
Patent assessment
www.knowmade.fr
29©2016 | www.yole.fr | About Yole Développement
OUR GLOBAL ACTIVITY

More Related Content

What's hot

Apple iPhone 12 series mmWave 5G Chipset and Antenna
Apple iPhone 12 series mmWave 5G Chipset and AntennaApple iPhone 12 series mmWave 5G Chipset and Antenna
Apple iPhone 12 series mmWave 5G Chipset and Antennasystem_plus
 
Mando MRR20 77GHz Mid-Range Radar
Mando MRR20 77GHz Mid-Range RadarMando MRR20 77GHz Mid-Range Radar
Mando MRR20 77GHz Mid-Range Radarsystem_plus
 
ams’ NanEye Mini Camera
ams’ NanEye Mini Cameraams’ NanEye Mini Camera
ams’ NanEye Mini Camerasystem_plus
 
RF Integrated Passive Devices: Reverse Costing Overview 2017 report published...
RF Integrated Passive Devices: Reverse Costing Overview 2017 report published...RF Integrated Passive Devices: Reverse Costing Overview 2017 report published...
RF Integrated Passive Devices: Reverse Costing Overview 2017 report published...system_plus
 
Dick james confab14
Dick james confab14Dick james confab14
Dick james confab14JESUSMBG
 
Sony IMX400 Tri-layer Stacked CMOS Image Sensor (CIS) with Integrated DRAM an...
Sony IMX400 Tri-layer Stacked CMOS Image Sensor (CIS) with Integrated DRAM an...Sony IMX400 Tri-layer Stacked CMOS Image Sensor (CIS) with Integrated DRAM an...
Sony IMX400 Tri-layer Stacked CMOS Image Sensor (CIS) with Integrated DRAM an...Yole Developpement
 
GaN RF Market Applications, players, devices & substrates 2016 - 2022, Marc...
GaN RF Market Applications, players, devices & substrates 2016 - 2022, Marc...GaN RF Market Applications, players, devices & substrates 2016 - 2022, Marc...
GaN RF Market Applications, players, devices & substrates 2016 - 2022, Marc...Yole Developpement
 
Outlook of 400G Datacenter Optical Architecture
Outlook of 400G Datacenter Optical ArchitectureOutlook of 400G Datacenter Optical Architecture
Outlook of 400G Datacenter Optical ArchitectureJasonLaw59
 
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor  Wireless Broadband SolutionPeraso X710 Chipset 60GHz Outdoor  Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solutionsystem_plus
 
Infineon FS100R12PT4 EconoPACK4™ 1200V IGBT4 Module teardown reverse costing ...
Infineon FS100R12PT4 EconoPACK4™ 1200V IGBT4 Module teardown reverse costing ...Infineon FS100R12PT4 EconoPACK4™ 1200V IGBT4 Module teardown reverse costing ...
Infineon FS100R12PT4 EconoPACK4™ 1200V IGBT4 Module teardown reverse costing ...Yole Developpement
 
2.5D/3D IC Market Challenges & Opportunities
2.5D/3D IC MarketChallenges & Opportunities2.5D/3D IC MarketChallenges & Opportunities
2.5D/3D IC Market Challenges & Opportunities Rohan Hubli
 
Patent & Technology Landscape Report on “Organic Photo Diodes - Applicable To...
Patent & Technology Landscape Report on “Organic Photo Diodes - Applicable To...Patent & Technology Landscape Report on “Organic Photo Diodes - Applicable To...
Patent & Technology Landscape Report on “Organic Photo Diodes - Applicable To...Caroline Charumathy
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole DeveloppementYole Developpement
 
AVGO IT Sector International Stock
AVGO IT Sector International StockAVGO IT Sector International Stock
AVGO IT Sector International StockJoel Julio
 
Advanced System-in-Package Technology in Apple’s AirPods Pro
Advanced System-in-Package Technology in Apple’s AirPods ProAdvanced System-in-Package Technology in Apple’s AirPods Pro
Advanced System-in-Package Technology in Apple’s AirPods Prosystem_plus
 
GaN-on-Si Substrate Technology and Market for LED and Power Electronics
GaN-on-Si Substrate Technology and Market for LED and Power ElectronicsGaN-on-Si Substrate Technology and Market for LED and Power Electronics
GaN-on-Si Substrate Technology and Market for LED and Power ElectronicsYole Developpement
 
RF GaN patent landscape 2020 flyer
RF GaN patent landscape 2020 flyerRF GaN patent landscape 2020 flyer
RF GaN patent landscape 2020 flyerKnowmade
 
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...Yole Developpement
 
ZF S-Cam 4 – Forward Automotive Mono and Tri Camera for Advanced Driver Assis...
ZF S-Cam 4 – Forward Automotive Mono and Tri Camera for Advanced Driver Assis...ZF S-Cam 4 – Forward Automotive Mono and Tri Camera for Advanced Driver Assis...
ZF S-Cam 4 – Forward Automotive Mono and Tri Camera for Advanced Driver Assis...system_plus
 

What's hot (20)

Apple iPhone 12 series mmWave 5G Chipset and Antenna
Apple iPhone 12 series mmWave 5G Chipset and AntennaApple iPhone 12 series mmWave 5G Chipset and Antenna
Apple iPhone 12 series mmWave 5G Chipset and Antenna
 
Mando MRR20 77GHz Mid-Range Radar
Mando MRR20 77GHz Mid-Range RadarMando MRR20 77GHz Mid-Range Radar
Mando MRR20 77GHz Mid-Range Radar
 
ams’ NanEye Mini Camera
ams’ NanEye Mini Cameraams’ NanEye Mini Camera
ams’ NanEye Mini Camera
 
RF Integrated Passive Devices: Reverse Costing Overview 2017 report published...
RF Integrated Passive Devices: Reverse Costing Overview 2017 report published...RF Integrated Passive Devices: Reverse Costing Overview 2017 report published...
RF Integrated Passive Devices: Reverse Costing Overview 2017 report published...
 
Dick james confab14
Dick james confab14Dick james confab14
Dick james confab14
 
Sony IMX400 Tri-layer Stacked CMOS Image Sensor (CIS) with Integrated DRAM an...
Sony IMX400 Tri-layer Stacked CMOS Image Sensor (CIS) with Integrated DRAM an...Sony IMX400 Tri-layer Stacked CMOS Image Sensor (CIS) with Integrated DRAM an...
Sony IMX400 Tri-layer Stacked CMOS Image Sensor (CIS) with Integrated DRAM an...
 
GaN RF Market Applications, players, devices & substrates 2016 - 2022, Marc...
GaN RF Market Applications, players, devices & substrates 2016 - 2022, Marc...GaN RF Market Applications, players, devices & substrates 2016 - 2022, Marc...
GaN RF Market Applications, players, devices & substrates 2016 - 2022, Marc...
 
Outlook of 400G Datacenter Optical Architecture
Outlook of 400G Datacenter Optical ArchitectureOutlook of 400G Datacenter Optical Architecture
Outlook of 400G Datacenter Optical Architecture
 
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor  Wireless Broadband SolutionPeraso X710 Chipset 60GHz Outdoor  Wireless Broadband Solution
Peraso X710 Chipset 60GHz Outdoor Wireless Broadband Solution
 
Infineon FS100R12PT4 EconoPACK4™ 1200V IGBT4 Module teardown reverse costing ...
Infineon FS100R12PT4 EconoPACK4™ 1200V IGBT4 Module teardown reverse costing ...Infineon FS100R12PT4 EconoPACK4™ 1200V IGBT4 Module teardown reverse costing ...
Infineon FS100R12PT4 EconoPACK4™ 1200V IGBT4 Module teardown reverse costing ...
 
2.5D/3D IC Market Challenges & Opportunities
2.5D/3D IC MarketChallenges & Opportunities2.5D/3D IC MarketChallenges & Opportunities
2.5D/3D IC Market Challenges & Opportunities
 
Patent & Technology Landscape Report on “Organic Photo Diodes - Applicable To...
Patent & Technology Landscape Report on “Organic Photo Diodes - Applicable To...Patent & Technology Landscape Report on “Organic Photo Diodes - Applicable To...
Patent & Technology Landscape Report on “Organic Photo Diodes - Applicable To...
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
 
AVGO IT Sector International Stock
AVGO IT Sector International StockAVGO IT Sector International Stock
AVGO IT Sector International Stock
 
Advanced System-in-Package Technology in Apple’s AirPods Pro
Advanced System-in-Package Technology in Apple’s AirPods ProAdvanced System-in-Package Technology in Apple’s AirPods Pro
Advanced System-in-Package Technology in Apple’s AirPods Pro
 
GaN-on-Si Substrate Technology and Market for LED and Power Electronics
GaN-on-Si Substrate Technology and Market for LED and Power ElectronicsGaN-on-Si Substrate Technology and Market for LED and Power Electronics
GaN-on-Si Substrate Technology and Market for LED and Power Electronics
 
RF GaN patent landscape 2020 flyer
RF GaN patent landscape 2020 flyerRF GaN patent landscape 2020 flyer
RF GaN patent landscape 2020 flyer
 
IoT - Infographic
IoT - InfographicIoT - Infographic
IoT - Infographic
 
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
 
ZF S-Cam 4 – Forward Automotive Mono and Tri Camera for Advanced Driver Assis...
ZF S-Cam 4 – Forward Automotive Mono and Tri Camera for Advanced Driver Assis...ZF S-Cam 4 – Forward Automotive Mono and Tri Camera for Advanced Driver Assis...
ZF S-Cam 4 – Forward Automotive Mono and Tri Camera for Advanced Driver Assis...
 

Viewers also liked

Status and Opportunities in EV/HEV Power Electronics
Status and Opportunities in EV/HEV Power Electronics Status and Opportunities in EV/HEV Power Electronics
Status and Opportunities in EV/HEV Power Electronics Yole Developpement
 
Gate Driver Market and Technology Trends - 2017 Report by Yole Developpement
Gate Driver Market and Technology Trends - 2017 Report by Yole DeveloppementGate Driver Market and Technology Trends - 2017 Report by Yole Developpement
Gate Driver Market and Technology Trends - 2017 Report by Yole DeveloppementYole Developpement
 
Continental ARS4-A 77GHz Radar 2017 teardown reverse costing report published...
Continental ARS4-A 77GHz Radar 2017 teardown reverse costing report published...Continental ARS4-A 77GHz Radar 2017 teardown reverse costing report published...
Continental ARS4-A 77GHz Radar 2017 teardown reverse costing report published...Yole Developpement
 
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...Yole Developpement
 
Infineon DPS310 Capacitive Pressure Sensor
Infineon DPS310 Capacitive Pressure SensorInfineon DPS310 Capacitive Pressure Sensor
Infineon DPS310 Capacitive Pressure SensorYole Developpement
 
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...Yole Developpement
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Yole Developpement
 
RF Front End modules and components for cellphones 2017 - Report by Yole Deve...
RF Front End modules and components for cellphones 2017 - Report by Yole Deve...RF Front End modules and components for cellphones 2017 - Report by Yole Deve...
RF Front End modules and components for cellphones 2017 - Report by Yole Deve...Yole Developpement
 
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...Yole Developpement
 
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...Yole Developpement
 
Data Center Market and Technology Trends Power Electronics presentation held ...
Data Center Market and Technology Trends Power Electronics presentation held ...Data Center Market and Technology Trends Power Electronics presentation held ...
Data Center Market and Technology Trends Power Electronics presentation held ...Yole Developpement
 
Gas Sensors, Detection of Particles, 3D Images…: What are the Next opportunit...
Gas Sensors, Detection of Particles, 3D Images…: What are the Next opportunit...Gas Sensors, Detection of Particles, 3D Images…: What are the Next opportunit...
Gas Sensors, Detection of Particles, 3D Images…: What are the Next opportunit...Yole Developpement
 
Fan-In Packaging: Business update 2016 Report by Yole Developpement
Fan-In Packaging: Business update 2016 Report by Yole DeveloppementFan-In Packaging: Business update 2016 Report by Yole Developpement
Fan-In Packaging: Business update 2016 Report by Yole DeveloppementYole Developpement
 
Transphorm GaN-on-Silicon HEMT TPH3206PS 2016 teardown reverse costing report...
Transphorm GaN-on-Silicon HEMT TPH3206PS 2016 teardown reverse costing report...Transphorm GaN-on-Silicon HEMT TPH3206PS 2016 teardown reverse costing report...
Transphorm GaN-on-Silicon HEMT TPH3206PS 2016 teardown reverse costing report...Yole Developpement
 

Viewers also liked (14)

Status and Opportunities in EV/HEV Power Electronics
Status and Opportunities in EV/HEV Power Electronics Status and Opportunities in EV/HEV Power Electronics
Status and Opportunities in EV/HEV Power Electronics
 
Gate Driver Market and Technology Trends - 2017 Report by Yole Developpement
Gate Driver Market and Technology Trends - 2017 Report by Yole DeveloppementGate Driver Market and Technology Trends - 2017 Report by Yole Developpement
Gate Driver Market and Technology Trends - 2017 Report by Yole Developpement
 
Continental ARS4-A 77GHz Radar 2017 teardown reverse costing report published...
Continental ARS4-A 77GHz Radar 2017 teardown reverse costing report published...Continental ARS4-A 77GHz Radar 2017 teardown reverse costing report published...
Continental ARS4-A 77GHz Radar 2017 teardown reverse costing report published...
 
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
 
Infineon DPS310 Capacitive Pressure Sensor
Infineon DPS310 Capacitive Pressure SensorInfineon DPS310 Capacitive Pressure Sensor
Infineon DPS310 Capacitive Pressure Sensor
 
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
 
RF Front End modules and components for cellphones 2017 - Report by Yole Deve...
RF Front End modules and components for cellphones 2017 - Report by Yole Deve...RF Front End modules and components for cellphones 2017 - Report by Yole Deve...
RF Front End modules and components for cellphones 2017 - Report by Yole Deve...
 
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
 
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
 
Data Center Market and Technology Trends Power Electronics presentation held ...
Data Center Market and Technology Trends Power Electronics presentation held ...Data Center Market and Technology Trends Power Electronics presentation held ...
Data Center Market and Technology Trends Power Electronics presentation held ...
 
Gas Sensors, Detection of Particles, 3D Images…: What are the Next opportunit...
Gas Sensors, Detection of Particles, 3D Images…: What are the Next opportunit...Gas Sensors, Detection of Particles, 3D Images…: What are the Next opportunit...
Gas Sensors, Detection of Particles, 3D Images…: What are the Next opportunit...
 
Fan-In Packaging: Business update 2016 Report by Yole Developpement
Fan-In Packaging: Business update 2016 Report by Yole DeveloppementFan-In Packaging: Business update 2016 Report by Yole Developpement
Fan-In Packaging: Business update 2016 Report by Yole Developpement
 
Transphorm GaN-on-Silicon HEMT TPH3206PS 2016 teardown reverse costing report...
Transphorm GaN-on-Silicon HEMT TPH3206PS 2016 teardown reverse costing report...Transphorm GaN-on-Silicon HEMT TPH3206PS 2016 teardown reverse costing report...
Transphorm GaN-on-Silicon HEMT TPH3206PS 2016 teardown reverse costing report...
 

Similar to 3D Packaging: A Key Enabler for Further Integration and Performance at European 3D Summit in Grenoble France January 25th, 2017 by Thibault Buisson from Yole Développement

Technology overview
Technology overviewTechnology overview
Technology overviewvirtuehm
 
MIPI DevCon Seoul 2018: Mobile Technologies for a Smart World
MIPI DevCon Seoul 2018: Mobile Technologies for a Smart World MIPI DevCon Seoul 2018: Mobile Technologies for a Smart World
MIPI DevCon Seoul 2018: Mobile Technologies for a Smart World MIPI Alliance
 
STT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsSTT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsDanny Sabour
 
Technological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingTechnological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingToradex
 
Intel 14nm aug11
Intel 14nm aug11Intel 14nm aug11
Intel 14nm aug11lopatto
 
B Ig B Ang
B Ig B AngB Ig B Ang
B Ig B Angdscemama
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...Yole Developpement
 
PLNOG 7: Piotr Głaska, Marcin Mazur - Core routers’ architecture
PLNOG 7: Piotr Głaska, Marcin Mazur -  Core routers’ architecturePLNOG 7: Piotr Głaska, Marcin Mazur -  Core routers’ architecture
PLNOG 7: Piotr Głaska, Marcin Mazur - Core routers’ architecturePROIDEA
 
Seagate – Next Level Storage (Webinar mit Boston Server & Storage, 2018 09-28)
Seagate – Next Level Storage (Webinar mit Boston Server & Storage,  2018 09-28)Seagate – Next Level Storage (Webinar mit Boston Server & Storage,  2018 09-28)
Seagate – Next Level Storage (Webinar mit Boston Server & Storage, 2018 09-28)BOSTON Server & Storage Solutions GmbH
 
S5pc100 Brochure 200902
S5pc100 Brochure 200902S5pc100 Brochure 200902
S5pc100 Brochure 200902guestc82ba2
 
Jorjin Technologies - AR Partnerships with Smart Glasses - 10012020
Jorjin Technologies -  AR Partnerships with Smart Glasses - 10012020Jorjin Technologies -  AR Partnerships with Smart Glasses - 10012020
Jorjin Technologies - AR Partnerships with Smart Glasses - 10012020Curt Riley
 
IT Solution through IoT Development
IT Solution through IoT DevelopmentIT Solution through IoT Development
IT Solution through IoT DevelopmentAndri Yadi
 
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Yole Developpement
 
Catalog Touch Screen Manufacturer - 2022V1-2-TouchWo.pdf
Catalog Touch Screen Manufacturer - 2022V1-2-TouchWo.pdfCatalog Touch Screen Manufacturer - 2022V1-2-TouchWo.pdf
Catalog Touch Screen Manufacturer - 2022V1-2-TouchWo.pdfMarkKuang1
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challengespboulet
 
Floor stand Kiosk - Catalog.pdf
Floor stand Kiosk - Catalog.pdfFloor stand Kiosk - Catalog.pdf
Floor stand Kiosk - Catalog.pdfMarkKuang1
 
Image Sensors 2009 - Shri Sundaram
Image Sensors 2009 - Shri SundaramImage Sensors 2009 - Shri Sundaram
Image Sensors 2009 - Shri SundaramShri Sundaram
 

Similar to 3D Packaging: A Key Enabler for Further Integration and Performance at European 3D Summit in Grenoble France January 25th, 2017 by Thibault Buisson from Yole Développement (20)

FPGA / SOC teknologi - i dag og i fremtiden
FPGA / SOC teknologi - i dag og i fremtidenFPGA / SOC teknologi - i dag og i fremtiden
FPGA / SOC teknologi - i dag og i fremtiden
 
Technology overview
Technology overviewTechnology overview
Technology overview
 
MIPI DevCon Seoul 2018: Mobile Technologies for a Smart World
MIPI DevCon Seoul 2018: Mobile Technologies for a Smart World MIPI DevCon Seoul 2018: Mobile Technologies for a Smart World
MIPI DevCon Seoul 2018: Mobile Technologies for a Smart World
 
STT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence ApplicationsSTT MRAM for Artificial Intelligence Applications
STT MRAM for Artificial Intelligence Applications
 
Technological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingTechnological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and Manufacturing
 
Intel 14nm aug11
Intel 14nm aug11Intel 14nm aug11
Intel 14nm aug11
 
B Ig B Ang
B Ig B AngB Ig B Ang
B Ig B Ang
 
USN Services
USN Services USN Services
USN Services
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
 
PLNOG 7: Piotr Głaska, Marcin Mazur - Core routers’ architecture
PLNOG 7: Piotr Głaska, Marcin Mazur -  Core routers’ architecturePLNOG 7: Piotr Głaska, Marcin Mazur -  Core routers’ architecture
PLNOG 7: Piotr Głaska, Marcin Mazur - Core routers’ architecture
 
Welcome to the Datasphere – the next level of storage
Welcome to the Datasphere – the next level of storageWelcome to the Datasphere – the next level of storage
Welcome to the Datasphere – the next level of storage
 
Seagate – Next Level Storage (Webinar mit Boston Server & Storage, 2018 09-28)
Seagate – Next Level Storage (Webinar mit Boston Server & Storage,  2018 09-28)Seagate – Next Level Storage (Webinar mit Boston Server & Storage,  2018 09-28)
Seagate – Next Level Storage (Webinar mit Boston Server & Storage, 2018 09-28)
 
S5pc100 Brochure 200902
S5pc100 Brochure 200902S5pc100 Brochure 200902
S5pc100 Brochure 200902
 
Jorjin Technologies - AR Partnerships with Smart Glasses - 10012020
Jorjin Technologies -  AR Partnerships with Smart Glasses - 10012020Jorjin Technologies -  AR Partnerships with Smart Glasses - 10012020
Jorjin Technologies - AR Partnerships with Smart Glasses - 10012020
 
IT Solution through IoT Development
IT Solution through IoT DevelopmentIT Solution through IoT Development
IT Solution through IoT Development
 
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
Advanced Packaging Role after Moore’s Law: Transition from Technology Node Er...
 
Catalog Touch Screen Manufacturer - 2022V1-2-TouchWo.pdf
Catalog Touch Screen Manufacturer - 2022V1-2-TouchWo.pdfCatalog Touch Screen Manufacturer - 2022V1-2-TouchWo.pdf
Catalog Touch Screen Manufacturer - 2022V1-2-TouchWo.pdf
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challenges
 
Floor stand Kiosk - Catalog.pdf
Floor stand Kiosk - Catalog.pdfFloor stand Kiosk - Catalog.pdf
Floor stand Kiosk - Catalog.pdf
 
Image Sensors 2009 - Shri Sundaram
Image Sensors 2009 - Shri SundaramImage Sensors 2009 - Shri Sundaram
Image Sensors 2009 - Shri Sundaram
 

More from Yole Developpement

Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleYole Developpement
 
Processor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleProcessor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleYole Developpement
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Yole Developpement
 
MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021Yole Developpement
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleYole Developpement
 
Neuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleNeuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleYole Developpement
 
Future Soldier Technologies 2021
Future Soldier Technologies 2021Future Soldier Technologies 2021
Future Soldier Technologies 2021Yole Developpement
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020Yole Developpement
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleYole Developpement
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020Yole Developpement
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Yole Developpement
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020Yole Developpement
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...Yole Developpement
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Yole Developpement
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020Yole Developpement
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020Yole Developpement
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Yole Developpement
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingYole Developpement
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Yole Developpement
 

More from Yole Developpement (20)

Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - Sample
 
Processor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleProcessor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - Sample
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021
 
MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
 
Neuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleNeuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - Sample
 
Silicon Photonics 2021
Silicon Photonics 2021Silicon Photonics 2021
Silicon Photonics 2021
 
Future Soldier Technologies 2021
Future Soldier Technologies 2021Future Soldier Technologies 2021
Future Soldier Technologies 2021
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - Sample
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based Testing
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020
 

Recently uploaded

Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxOnBoard
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptxLBM Solutions
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxMaking_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxnull - The Open Security Community
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Allon Mureinik
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Neo4j
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 

Recently uploaded (20)

Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptx
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptx
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxMaking_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
The transition to renewables in India.pdf
The transition to renewables in India.pdfThe transition to renewables in India.pdf
The transition to renewables in India.pdf
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 

3D Packaging: A Key Enabler for Further Integration and Performance at European 3D Summit in Grenoble France January 25th, 2017 by Thibault Buisson from Yole Développement

  • 1. From Technologies to Markets 3D Packaging: A Key Enabler for Further Integration and Performance European 3D Summit Thibault Buisson Business Unit Manager - buisson@yole.fr Grenoble | France – January 23-25th, 2017
  • 2. 2 QUICK OUTLINE oWhat IS and WILL be driving 3D Packaging? oA closer look…on 3D Packaging Technology oPackaging Trends oKey Messages
  • 3. What IS andWILL be driving 3D Packaging?
  • 4. 4 WHAT IS AND WILL BE DRIVING ADVANCED PACKAGING & 3D PACKAGING? Smartphones Tablets … IoT,Wearables, … AR/VR handsets Automotive Connected cars Autonomous vehicles… Datacenters,Networking, HPC, Photonics Deep learning Graphics…Artificial Intelligence …
  • 5. 5 WHAT IS AND WILL BE DRIVING ADVANCED PACKAGING & 3D PACKAGING? Smartphones Tablets IoT,Wearables, … AR/VR handsets Automotive Connected cars Autonomous vehicles… Datacenters,Networking, HPC Deep learning GraphicsArtificial Intelligence Key Drivers: Form Factor Increase Functionnalites Performance Reduced Cost
  • 6. 6 GLOBAL TECHNOLOGY ROADMAP Moore and beyond: from information to interaction and transformation @2015 | www.yole.fr | Sensors and Data Management for Autonomous Vehicles 1980 2010 2030 Moore More than Moore Beyond Moore LaptopPersonal computers Smartphones Autonomous vehicles Robotic servants Quantified self Drones Acceleration Sensing Interaction age Processing Information age Actuating Transformation age Tablets Smart homes 2040 Telekinesis Space travel Yole Développement © August2015 Technology x Market Development MEMS & sensors enable key functionalities… …which are the industry’s current battleground
  • 7. 7 Sound Interface Security Communi- cation Motion Environmental Optical MEMS AND SENSORS IN MOBILE DEVICES Security, sound and 3D Imaging, the next value propositions of smartphones … @2017 | www.yole.fr | 3D Summit Capacitive MEMS Microphone Piezoelectric MEMS Microphone CIS (Front, Rear, Multi, 3D) Spectral sensing (IR, multispectral) Autofocus (VCM, liquid lens, MEMS, piezo) Particle, gas sensor Temp. / Humidity sensor Accelerometer Gyroscope Magnetometer Combos (IMU, eCompass, 9DOF) Pressure sensor Antenna tuner, filters, … MEMS oscillator Face/Eye/Iris recognition Fingerprint sensor Laser ranger 3D Touch ALS, proximity, RGB Laser ranger
  • 8. 8 LANDSCAPE OF SENSORS USED IN SMARTPHONE MARKET 2007 - 2014 Since the advent of smartphones and tablets, the landscape of sensors integrated has really changed… @2017 | www.yole.fr | 3D Summit 2007 2014 Accelerometer Accelerometer Gyroscope Magnetometer 3 sensors 12 sensors Microphone x1 CIS x1 Microphone x2 Pressure Fingerprint HRM CIS x2 ALS Proximity 2-in-1 (6A-IMU) 2-in-1
  • 9. 9 LANDSCAPE OF SENSORS USED IN SMARTPHONE MARKET 2014 - 2021 With a profusion of sensors @2017 | www.yole.fr | 3D Summit 2014 2021 Accelerometer Gyroscope Magnetometer 12 sensors 20 sensors ALS Proximity RGB CIS x4 Laser ranger IR sensor Fingerprint HRM Pressure Microphone x3 Gas sensor Accelerometer Gyroscope Magnetometer Microphone x2 Pressure ALS Proximity Fingerprint HRM CIS x2 3-in-1 2-in-1 (6A-IMU)2-in-1 (6A-IMU) 2-in-1
  • 10. 10©2016 | www.yole.fr | 3D TSV & 2.5D Interconnect - Business Update Report 2016 MORE FUNCTIONALITIES NEED PERFORMANCE Vertical integration is the current (huge) battle Manage all the chain is a key advantage … that’s why all OEMs develop their own APU APU Apple A10 Samsung Exynos 8 Qualcomm Snapdragon 820 HiSilicon Kirin 955 MediaTek Helio X25 Package type InFO 1178-ball PoP BGA 1027-ball PoP BGA MCeP PoP PoP Process 14nm FinFET (e) 14nm LPP 14nm LPP 16nm FF+ 20nm CPU 4x or 6x Cortex A72 @2GHz (e) 4x A53 + 4x Exynos M1 @2.3GHz 4x Kryo @2GHz 8x Cortex A72/53 @2.5GHz 10x Cortex A72/53 @2.5GHz Size (mm) 15x15x1 (e) 15.4x14.5x1 15.3x16x1 / / Pin pitch (mm) 0.4 0.4 0.4 0.4 / Foundry TSMC (e) Samsung Samsung/Shinko TSMC ? TSMC ? Co-processor (for Sensor fusion) M10 (e) / / / ARM Cortex M4
  • 11. A closer look…on 3D PackagingTechnology
  • 12. 12©2016 | www.yole.fr DIFFERENT ARCHITECTURES OF ACCELEROMETER USING 3D APPROACH 2013 20142012 2015 - 2016 LGA package LGA package LGA packageWLCSP WLCSP Source: mCube
  • 13. 13©2016 | www.yole.fr 3-AXIS ACCELEROMETER PACKAGE SIZE – SIZE REDUCTION Surface: SST= 4mm² Package thickness: TST= 1mm Surface: SmCube= 4mm² Package thickness: TmCube= 0.9mm Surface: SBosch= 1.8mm² Package thickness: TBosch= 0.8mm 2013 20142012 2015 2016 2017 Surface: SmCube= 4mm² Package thickness: TmCube= 0.9mm Surface: SmCube= 2,56mm² Package thickness: TmCube= 0.94mm Surface: SmCube= 1,21mm² Package thickness: TmCube= 0.74mm LGA package LGA package LGA packageWLCSP WLCSPLGA package o Driven by IoT WLP will be one of the next key trend for MEMS and Sensors devices! Source: mCube 70% reduction in package size enabled by 3DTSV andWLP
  • 14. 14©2016 | www.yole.fr FILM BULK ACOUSTIC RESONATOR (FBAR) - BAW FILTER FROM AVAGO 2015 2016 LGA package o 2 MEMS o 4 layers organic substrate System in Package (SiP) o Multi-dies (SAW, FBAR, Swith…) o 7 layers coreless substrate o Extracted from Iphone 6S 2013 System in Package (SiP) o Multi-dies (BAW, Switches…) o 7 layers coreless substrate o Extracted from Samsung S7 o TSV is used to connect the membrane of the FBAR o Thickness of the cap has been reduced and thereforeTSV depth as well o Used of coreless substrate to enable multi dies integration
  • 15. 15©2016 | www.yole.fr OTHER MEMS & SENSORS 3D PACKAGING ALS (Ambiant Light Sensor) Finger Print SensorIMU 6-Axis Accelerometer 2015 2015 2015Oscillator 2010 And much more to come! LGA package WLCSP WLCSP
  • 16. 16©2016 | www.yole.fr CMOS IMAGE SENSOR 3D STACKING PixelArray circuit (BSI) Logic circuit TSV Generation 1 TSV Generation 2 Cu-Cu Hybrid Bonding Low temperature Oxide Oxide bonding with TSV or hybrid bonding without TSV What is next? Muti stacked wafers
  • 17. 17©2016 | www.yole.fr LAST FLAGSHIP – APE 3D PACKAGING COMPARISON 1,14 1 1 0,73 FC PoP FC PoP MCeP inFO Kirin 955 Exynos 8 Snapdragon 820 A10 Package On PackageThickness [µm] 1mm> 0 PoP thickness APE Package thickness ~0,5mm o Thinner is better! o Clear trend to reduce APE package thickness o TSMC with inFO technology as reached a very thin package size that leads to better performance. o The Fan Out penetration in the APE segment has a direct impact on the advanced substrate makers. Loss estimated to be few hundreds of M$ o So…What could be next? ~0,4mm
  • 18. 18©2016 | www.yole.fr FAN-OUT APPLICATIONS Different applications with different needs and characteristics (Density, package size) Codec DC-DC Wifi BB PMU/PMIC RF Application Processors (APE) + BB APE+DRAM GPU, CPU + Memory (Wide IO, etc…) High Bandwidth Memories FPGA Processors + Memories IO density (IO/mm/layer) 200 100 1 2x2 5x5 10x10 15x15 20x20 >20x20 >>20x20 Package size (mmxmm) MEMS CMOS Image Sensors Display Drivers 10 >>200
  • 19. 19©2016 | www.yole.fr MEMS CMOS Image Sensors Display Drivers Codec DC-DC Wifi BB PMU/PMIC RF Application Processors (APE) + BB APE+DRAM GPU,CPU+Memory (Wide IO, etc…) High Bandwith Memories FPGA Processors+Memories FAN-OUT APPLICATIONS Potential applications for Fan-Out:Where does Fan-Out fit and how? Single Chip FOWLP Multi-Chip FO PoP withTMV FO SiP Multi-Chip FO PoP withTMV IO count 10000 1000 100 2x2 5x5 10x10 15x15 20x20 >20x20 >>20x20 Package size (mmxmm)
  • 20. 20©2016 | www.yole.fr LAST FLAGSHIP – APE 3D PACKAGING COMPARISON What we can expect : o The substrate manufacturers will strike back o Strong developpement to reduce L/S and substrate thickness o Alternative technologies such as embedded die could be used (such as MCeP type…) o Advanced PoP (HB-PoP) to be used o Several options for Fan Out Packaging and alternatives across different players (mainly OSATs) o Other products or players to use Fan Out Packaging o New generation inFO o Package thickness continues to reduces (Fan out used for top dies i.e: memories) o Chip last approach to compete with Chip first. o Fan Out to spread in High End Segments (High I/O) such as networking (High End Applications…) o Panel level Packaging developpement to reduce cost of processing
  • 22. 22 RDL/Metal LAYERS INCREASINGWITH NEEDS FOR HIGHER PERFORMANCE RDL/ Metal layers Increased Performance enabled by integration, higher I/Os, Reduced Pitch Size Xilinx/TSMC CoWoS (FPGA) • 12 substrate build-up layers (6-2-6) are not able to support below FPGAs – interposer needed • Passive TSV interposer 2 RDL Layers: Package total thickness (without balls) - 0.29mm • Top Protective layer thickness: 0.024mm • Silicon Substrate thickness: 0.230mm • Metal layers + RDL thickness: 0.040mm HiSilicon AudioDecoder in Huawei Ascend Mate 7 M 6 ( A l) RDL (Copper, 4.7µm) UBM (Copper, 6µm) Solder Ball (SAC) Die Passivation (SiO2+SiN) Polyimide Passivation (5µm) Polyimide Passivation (6µm) Polyimide Passivation (3µm) RDL (Copper, 4.7µm) Si Substrate M 5 ( C u ) M 6 ( A l )RDL (Copper, 4µm) UBM (Copper, 4µm) Solde r Ball (SAC) Die Passivation (SiO2+SiN) Polyimide Passivation (6µm) Polyimide Passivation (5.5µm) 1 RDL Layer: Package total thickness (without balls): 0.34mm • Top Protective layer thickness: 0.024mm • Silicon Substrate thickness: 0.295mm • Metal layers + RDL thickness: 0.024mm QualcommTransceiver in Apple iPhone 6+ WLCSP WLCSP Mobile Logic Higher I/Os 2 RDL Layers: Package total thickness - 0.33mm Fan-Out WLP Renesas Microcontroler (MCU) 14 RDL Layers: • 4/2/4 FC substrate and 3 Cu damascene layers + 1 Al layer in Si interposer • Package total thickness - 2.32 mm 2.5D Interposer Front End Module: Qorvo in Apple iPhone 6+ 7 RDL Layers: Package total thickness - 0.9mm Coreless FC SiP WLP (Fan in & Out) SiP 2.5D / 3DTSVTechnology
  • 24. 24 TO CONCLUDE o Scaling of transistors is getting more and more complex. To enable solutions, focus is therefore on Advanced Packaging throught different platforms and mainly on 3D Packaging Platforms. o Fan Out Packaging has penetrated the middle end market for application processor. It is foreseen to expand in that segment and possibly for high end market. Several solutions are being proposed by many players to gain market share. o Fan Out Packaging may impact the flip chip technology and mainly advanced substrates makers. We do expect the substrate makers to come-up with more advanced technical specifications. o Moving to Fan Out Packaging is a strategic decision. Some fabless makers may take their time to switch to this technology. o High End market pushed by more demand in performance will continue to see advanced Packaging technologies emerging. @2017 | www.yole.fr | 3D Summit
  • 25. 25 Thank you! @2017 | www.yole.fr | 3D Summit
  • 26. 26©2016 | www.yole.fr | About Yole Développement FIELDS OF EXPERTISE Yole Développement’s 45 analysts operate in the following areas MEMS & Sensors LED Compound Semi. Imaging Photonics MedTech Manufacturing Advanced Packaging Batteries / Energy Management Power Electronics Displays RF
  • 27. 27©2016 | www.yole.fr | About Yole Développement 4 BUSINESS MODELS o Consulting and Analysis • Market data & research, marketing analysis • Technology analysis • Strategy consulting • Reverse engineering & costing • Patent analysis www.yole.fr o Reports • Market &Technology reports • Patent Investigation and patent infringement risk analysis • Teardowns & Reverse Costing Analysis • Cost SimulationTool www.i-Micronews.com/reports o Financial services • M&A (buying and selling) • Due diligence • Fundraising • Maturation of companies • IP portfolio management & optimization www.yolefinance.com www.bmorpho.com o Media • i-Micronews.com website • @Micronews e-newsletter • Communication & webcast services • Events www.i-Micronews.com
  • 28. 28©2016 | www.yole.fr | About Yole Développement A GROUP OF COMPANIES Market, technology and strategy consulting www.yole.fr Due diligences www.yolefinance.com Innovation and business maker www.bmorpho.com Manufacturing costs analysis Teardown and reverse engineering Cost simulation tools www.systemplus.fr IP analysis Patent assessment www.knowmade.fr
  • 29. 29©2016 | www.yole.fr | About Yole Développement OUR GLOBAL ACTIVITY